Научная статья на тему 'Методика структурного синтеза нейронов-преобразователей аналого-цифровой нейросети'

Методика структурного синтеза нейронов-преобразователей аналого-цифровой нейросети Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
267
47
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
АНАЛОГО-ЦИФРОВАЯ НЕЙРОСЕТЬ / НЕЙРОСЕКЦИЯ / НЕЙРОН-ПРЕОБРАЗОВАТЕЛЬ / ОПЕРАЦИОННОЕ УСТРОЙСТВО / ANALOG-DIGITAL NEURAL NETWORK / NEURAL SECTION / NEURON-CONVERTER / OPERATIONAL DEVICE

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Локтюхин В. Н., Антоненко А. В., Челебаев С. В.

Представлена методика структурного синтеза нейроэлементов со сложными функциями активации на крупнозернистом уровне представлния нейровычислителей. Приведена многоэтапная процедура синтеза нейронов-преобразователей, рассмотрена функциональная модель нейрона в виде совокупности битовых операций в нейросетевом базисе. Предложены основные логические (структурные) схемы нейронов-преобразователей в форме операционных устройств. Приведен пример синтеза нейросетевого преобразователя “код–временной интервал” с использованием языка описания аппаратуры для ПЛИС. Предложенные методики и технологии могут обспечить существенное сокращение сроков разработки нейронов-преобразователей

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Локтюхин В. Н., Антоненко А. В., Челебаев С. В.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

TECHNIQUE FOR STRUCTURAL SYNTHESIS OF NEURONS-CONVERTERS OF ANALOG-DIGITAL NEURAL NETWORK

A technique for structural synthesis of neuroelements with complex functions of activation at the coarse-grained level of representation of neural computers is presented. A multistage procedure for synthesis of neurons-converters is given, a functional neuron model as an aggregate of bit operations in the neural-network basis is considered. Basic logical (structural) schemes of neurons-converters are proposed in the form of operational devices. An example of synthesis of the “code-to-time interval” neural-network converter is given using the hardware description language for programmed logical chips. The proposed techniques and technologies can provide the substantial reduction in time period for development of neurons-converters

Текст научной работы на тему «Методика структурного синтеза нейронов-преобразователей аналого-цифровой нейросети»

РАДИОЭЛЕКТРОНИКА

УДК 681.525.5

МЕТОДИКА СТРУКТУРНОГО СИНТЕЗА НЕЙРОНОВ-ПРЕОБРАЗОВАТЕЛЕЙ АНАЛОГО-ЦИФРОВОЙ НЕЙРОСЕТИ

В.Н. Локтюхин, А.В. Антоненко, С.В. Челебаев

РГРТУ, г. Рязань, Российская Федерация e-mail: rgrtu@rsreu.ru

Представлена методика структурного синтеза нейроэлементов со сложными функциями активации на крупнозернистом уровне представлния ней-ровычислителей. Приведена многоэтапная процедура синтеза нейронов-преобразователей, рассмотрена функциональная модель нейрона в виде совокупности битовых операций в нейросетевом базисе. Предложены основные логические (структурные) схемы нейронов-преобразователей в форме операционных устройств. Приведен пример синтеза нейросетевого преобразователя "код-временной интервал" с использованием языка описания аппаратуры для ПЛИС. Предложенные методики и технологии могут обспечить существенное сокращение сроков разработки нейронов-преобразователей.

Ключевые слова: аналого-цифровая нейросеть, нейросекция, нейрон-преобразователь, операционное устройство.

TECHNIQUE FOR STRUCTURAL SYNTHESIS

OF NEURONS-CONVERTERS OF ANALOG-DIGITAL NEURAL

NETWORK

V.N. Loktyukhin, A.V. Antonenko, S.V. Chelebaev

Ryazan State Radio-Engineering University, Ryazan, Russian Federation e-mail: rgrtu@rsreu.ru

A technique for structural synthesis of neuroelements with complex functions of activation at the coarse-grained level of representation of neural computers is presented. A multistage procedure for synthesis of neurons-converters is given, a functional neuron model as an aggregate of bit operations in the neural-network basis is considered. Basic logical (structural) schemes of neurons-converters are proposed in the form of operational devices. An example of synthesis of the "code-to-time interval" neural-network converter is given using the hardware description language for programmed logical chips. The proposed techniques and technologies can provide the substantial reduction in time period for development of neuronsconverters.

Keywords: analog-digital neural network, neural section, neuron-converter, operational device.

В настоящее время аппарат искусственных нейронных сетей (ИНС) является эффективным средством, поддерживающим процесс формализованного синтеза устройств преобразования формы информации, определяемых как ИНС-преобразователи [1]. Такие устройства оперируют с переменными, представленными в виде частоты f, длительности временного интервала т, периода T изменения сигнала,

его амплитуды (напряжения и или тока I), число-импульсного (те) или позиционного (И) кода, и представляют собой аналого-цифровую нейросеть, процессорными элементами которой являются нейроны-преобразователи (НП). Под НП понимается математический нейрон с гибридной формой представления информации и возможностью ее преобразования с совмещением выполнения математической операции.

В известных публикациях [2-5] освещены в основном вопросы синтеза линейных аналого-цифровых преобразователей, базирующихся на применении однобитовых нейронов с пороговой функцией активации и, соответственно, мелкозернистого уровня описания разрабатываемых устройств. Вместе с тем, при создании нейросетевых функциональных преобразователей информации (ФПИ) целесообразно применять к-битовые (к > 1) процессорные нейроэлементы, к которым относятся: паде-нейроны, квадратичные нейроны, нейроны с линейной, степенной, сигмоидной функциями активации и др. Это позволяет при меньшем числе нейроэлементов, но с более сложными функциями активации, обеспечить воспроизведение различных нелинейных зависимостей, сократить время обучения ФПИ [6]. Однако проблема структурного синтеза к-битовых нейроэлементов со сложными функциями активации при аппаратной реализации нейросетевых ФПИ до настоящего времени еще не решена.

Цель работы — разработка методики структурного синтеза такого вида нейронов на крупнозернистом уровне представления ИНС-устройств, которая позволит формализовать формирование логических (структурных) схем нейронов ИНС-преобразователей на основе типовых узлов и элементов вычислительной техники (ВТ).

Достичь указанной цели можно на основе нейросетевой технологии описания функционирования НП, позволяющей найти последовательность достаточно формализованных приемов получения логической схемы, которые составляют содержание методики структурного синтеза НП (в том числе на языке описания аппаратуры). При этом целесообразно НП интерпретировать в виде операционного устройства (ОУ), выполняющего совокупность операций нейросетевого базиса, каждой из которых соответствует специфический узел (например, для цифровой ВТ — это регистр, счетчик, сумматор, схема сравнения и др.). Представление НП в виде ОУ позволяет также применять известные приемы синтеза [7] для получения логической схемы нейрона. Поскольку для задачи синтеза преобразователей формы информации ОУ представляет собой аналого-цифровое (гибридное) устройство, то данное обстоятельство предопределяет существенную новизну в создании методики его синтеза.

Перечень стадий структурного синтеза НП на основе нейросе-тевой технологии.

1. Декомпозиция структурной модели ИНС-преобразователя в виде элементарных НП.

2. Получение функциональных моделей НП в виде набора (совокупности) нейросетевых операций как основы выявления функции преобразования НП и перехода к построению структурной схемы нейрона как операционного устройства.

3. Реализация отдельных выражений совокупности, составляющих содержание функциональной модели, с помощью типовых узлов ВТ.

4. Построение логической схемы НП как ОУ путем соединения отдельных узлов ВТ, реализующих соответствующие нейрооперации функциональной модели НП.

Синтез синаптических связей проводится также в соответствии с пунктами 1-4, поскольку эти связи представляются в виде НП, выполняющих операции умножения. Достоинством методики является инвариантность проводимых стадий к элементной базе, на которой будет реализован проектируемый вычислительный или функциональный преобразователь информации, что обеспечивает возможность ее широкого применения для синтеза устройств нейросетевой обработки сигналов разнообразной физической природы [6]. Рассматриваемые стадии приводятся далее для многотактного ИНС-преобразователя "код-временной интервал", из которого выделяются (декомпозируются) синтезируемые нейроны.

Структурная модель многотактного ИНС-преобразователя "код-временной интервал" с использованием упрощенной сиг-моиды. Математическая модель структуры ИНС-преобразователя код-аналог х* ^ у может быть представлена в виде двухслойной нейронной сети прямого распространения с линейной функцией активации выходного слоя. Сеть оперирует переменными в заданных диапазонах изменения х* С [хШш, хШах], У С [Ушт, Утах] (х* — преобразуемый к-разрядный позиционный код). Нейросеть содержит два слоя

математических нейронов (МН^ и МН12)) и описывается выражением

у = зМН, (Е«,, • < (еМН,))), (1)

(2) (2) где эдмН 1 — весовые коэффициенты нейрона МЩ ) второго выходного

слоя сети, ] = [1, М]; М — число нейронов на первом слое; ^М1]. —

функция активации ^'-го нейрона МН^1) первого слоя; ^М] — активаци-

онная функция нейрона МН12) выходного слоя сети; сМН. = "^Мщ х

х х*+^МН2, •хо — взвешенная сумма значений входов х* и х0, поступа-

ющих с нейронов МН10' и мн!0' нулевого (распределительного) слоя сети на входы нейронов МН^1' первого слоя; =1 и ^МН2 — веса

входов нейронов МН^1' первого слоя, ^ = [1, М]; х* — преобразуемый код; х0 — опорная величина (эталон преобразования).

В качестве функции активации нейронов МН^1' может быть применена упрощенная сигмоида [8]

G

(1)

F(1) (G(i) \ _

bj+GMH,

если GMJH. > 0;

0, иначе,

где — коэффициент, задающий индивидуальный вид функции активации ^МН каждому нейрону МН^1' первого слоя с его последующем представлением в ИНС-преобразователе в виде паде-нейрона.

Для сокращения аппаратных затрат на реализацию ИНС-преобра-зователя кода N во временной интервал ту (рис. 1) выбран многотакт-ный режим его работы. Наличие этого режима позволяет с помощью одной цифроаналоговой нейросекции последовательно во времени в течение цикла преобразования Тц = ту тах формировать результат нелинейного (функционального) преобразования N ^ ту как сумму дробно-линейных функций.

Секция (см. рис. 1) состоит из двух слоев НП. При этом первый

г(1'

слой содержит паде-нейрон НП1', реализующий операцию

Туз = N - ма0])/(/о^/2к + /о^/2к), где и Ыа0з — позиционные коды, моделирующие весовые коэф-

Рис. 1. Структура многотактного ИНС-преобразователя "код-временной интервал"

МН

j

фициенты ^Мн! • и нейрона НП^. Второй слой нейросекции

является суммирующим. Он формирует на выходе нп12) временной интервал ту путем суммирования временнЫх отрезков тУз (3 = [1, т]), получаемых в 3-х тактах (т — число тактов преобразования):

= Е

j=1

Nx - Naoi

fo Nx/2k + fo Nb0:i /2k'

(2)

Хранение ИЬоз и ЖО0:] осуществляется с помощью памяти сети (ПС). Схема управления (СУ) сетью переключает ПС на формирование 3-го набора коэффициентов Щ0:} и ЫО0з для 3-х тактов преобразования.

Определение параметров ЖО0, МО1:], аппроксимирующей функции (2), обеспечивающих формирование на выходе устройства значение интервала ту = Ф(Жх), нелинейно связанного с Жх, проводится путем обучения АЦ-нейросети решению задачи аппроксимации ту = Ф(Жх) с использованием алгоритма обратного распространения ошибки [6].

Стадии структурного синтеза НП. Стадия 1. Декомпозиция структурной модели ИНС-преобразователя в виде элементарных НП. Декомпозиция структурной модели ИНС-преобразователя (см. рис. 1) проводится для нахождения отдельных его составляющих в виде элементарных НП как наименьших процессорных (вычислительных) компонентов проектируемого устройства. Так, представленный на рис. 2 НП^ характеризуется структурой в виде паде-нейрона, состоящего из следующих компонентов, строящихся на основе элементарных НП:

а) согласующая синаптическая связь в составе структуры НП^ (учитывающая согласование формы представления переменной по входу N в частоту /нх), которая реализуется посредством элементарного НП код-частота на основе двоичного умножителя (ДУ) [8] (выделен на рис. 2 штриховым блоком а);

Рис. 2. Нейрон-преобразователь код-временной интервал

У

= /0N/2к + /0ИЬоз/2к для последующей операции деления (см. рис. 2,

б) обучаемая синаптическая связь НП^1' по входу /0, которая реализуется элементарным НП код-частота на основе двоичного умножителя (ДУ) (выделен на рис. 2 штриховой линией блоком б);

в) вычитатель кодов, формирующий значение О1 = N — Иа0з для последующей операции деления (см. рис. 2, блок в);

г) сумматор частот, формирующий значение знаменателя О2 =

/2к к

блок г);

д) делитель О^О2, который реализуется с помощью элементарного НП код-временной интервал (см. рис. 2, штриховой блок д).

Стадия 2. Получение функциональных моделей НП в виде совокупности нейросетевых операций как основы выявления его функции преобразования и перехода к построению его структурной схемы как ОУ.

Процесс получения функциональной модели элементарного НП в виде совокупности операций с переменными, представленными в виде отдельных битов и двоичных слов, опирается на определенную совокупность операций нейросетевого базиса.

Функциональную модель элементарного НП можно получить следующим образом:

1. Устанавливается конкретный вид АЦ или ЦАпреобразования, например, код-временной интервал, и определяются соответствующие для него формы представления переменных.

2. Задается вид математического нейрона с указанием применяемой функции активации.

3. Задаются способы представления сигналов на входе и выходе НП, а также параметров активационной функции. Например, в качестве входных сигналов могут выступать: х* — цифровой эквивалент в виде к-разрядного позиционного кода; х0(гТ0) = 1 (гТ0) — поток единичных импульсов, следующих с периодом Т0, который задает эталон преобразования код-аналог, г = 1, 2, ..., где Т0 = 1//0; в* — порог функции активации ^НП нейрона в виде к-разрядного кода. В соответствии с функцией преобразования НП, например код-временной интервал, сигнал на выходе НП представляется в виде потока единичных импульсов у(]{ту/Т1}) длительностью ту, следующих с периодом Т1.

4. Задается представление активационной функции Г(О) НП, например, в виде импульсной пороговой функции ^НП.

5. Формируется совокупность математических выражений, описывающих функционирование НП в виде операций, характеризующих совместную работу блоков активации и суммирования нейрона при выполнении заданной операции преобразования с учетом представления переменных (см. пункт 3). Функционирование НП "код-

Рис.3. Нейрон-преобразователь с выходом у(з{ту/Т}) в виде временного интервала ту скважностью Qy и с числом импульсов пу

временной интервал" (рис. 3) в общем виде описывается совокупностью выражений

УV{Ту/7}) = 10 ^ с(гТо)- г ^0' (3)

у/ 1} \ 0, иначе;

£(¿7) = т!ж* + то ^ ао(¿То); (4)

i=1

ао (¿То) = ^о жо (¿То), ^о = У (V {ту/Т1});

¿ = 1, 2, 3, ..., 5, 5 = Бпфу/То},

где у(V{ту/7}) — поток прямоугольных импульсов длительностью ту, следующих с периодом Т1; ^(¿То) — взвешенная сумма входов НП; 5 = Еп1;{ту/То} — число единичных импульсов с периодом То за интервал ту.

6. Получение в соответствии с характеристиками частотно-временных параметров преобразуемых потоков единичных импульсов (битов) математического описания (в виде формулы) функции преобразования НП. Например, для рассматриваемого НП "код-временной интервал" (см. рис. 3), при то и т1, равных единице, функция преобразования имеет вид ту = То (6>* — ж*).

Стадия 3. Реализация отдельных выражений совокупности, составляющих содержание функциональной модели, с помощью операционных узлов ВТ в виде последовательности следующих трех действий.

1. Построение содержательного и закодированного графа функционирования НП. Для построения схемы НП циклического типа на базе ОУ ВТ необходимо, например для нейрона (см. рис. 3), составить содержательный (рис. 4, а) и закодированный (рис. 4, б) графы, описывающие работу схемы НП с помощью последовательности операций, выполняемых операционными узлами.

Рис. 4. Содержательный (я) и закодированный (б) графы НП "код-временной интервал" (см. рис. 3)

Выбор конкретной операции (см. рис. 4, а), представленной в содержательном графе, проводится исходя из ее ориентации на выполнение соответствующей нейросетевой операции (см. рис. 4, в). Следует отметить, что НП непрерывного принципа действия не требуют составления содержательного и закодированного графов.

На рис. 4, а приведен содержательный граф, описывающий функционирование элементарного НП циклического типа "код-временной интервал" (см. рис. 3) с использованием операций нейросетевого базиса, которым соответствуют операции ОУ, как логической схемы НП. Закодированный граф (см. рис. 4, б) описывает функционирование схемы управления НП. Поскольку для графов НП типична линейная последовательность операторов, то это позволяет обеспечить построение достаточно простых схем управления в виде распределителя сигнала, содержащего счетчик, и дешифратора [7]. Запуск распределителя может проводиться импульсами входных и выходных последовательностей НП, например, следующих с периодом Т0 или Ту.

2. Выявление операционных узлов, реализующих операции (см. рис. 4, в) нейрона-преобразователя. Далее составляется список опера-

ций в составе содержательного графа (см. рис. 4, а), описывающего функционирование НП. Этот список задает набор ОУ ВТ (табл. 1) в логической схеме устройства, реализующего требуемую функцию преобразования НП. Так, присутствие операций начальной загрузки суммы и счета импульсов (см. табл. 1, операции № 1 и № 4) при формировании значения входной суммы О однозначно указывает на наличие счетчика С в логической схеме НП. Присутствие операции сравнения (см. табл. 1, операция № 2) при формировании пороговой активационной функции требует включения в структуру НП схемы сравнения СР двоичных кодов. Операция одноразрядного умножения битов (см. табл. 1, операция № 3) осуществляется посредством логической схемы "И".

3. Построение схемы управления НП. Схема управления НП "код-временной интервал" (см. рис. 3) формирует управляющий сигнал дь осуществляющий начальную загрузку преобразуемого кода N в счетчик С. Сигнал д1 формируется с периодом Ть который, в частном случае, задает цикл преобразования с интервалом Т1 = 2кТ0, где к — число разрядов счетчика Су в составе схемы управления. При этом на интервал Т1 цикла преобразования накладывается требование выполнения условия: Т1 > ту тах. Управляющий сигнал д1 можно интерпретировать как поток д1(]Т1) управляющих воздействий (сигналов) на схему НП, следующих с периодом Т1 , определяющим цикл преобразования Тц. В свою очередь, последовательность д1(]Т1) описывается как поток единичных импульсов 1(]Т1), ] = 1, 2, 3,....

Таблица 1

Список операций, выполняемых НП "код-временной интервал" (см. рис. 3) и соответствующими операционными узлами ВТ

№ Название Операция нейросетевого базиса Операция, реализуемая узлом Операционный узел ВТ

1 Начальная загрузка 0(0) | = х* С = Мх Счетчик С

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

2 Операция сравнения 0(гТо) - 0* < 0 С < Мв Схема сравнения СР

3 Операция умножения временного интервала на частоту /о = 1/То ао(гТо) = у(з {ту Д\}х ххо(гТо) ао = У • хо Схема "И"

4 Операция счета в 0(гТо ) = х* + ^ ао (¿То) ¿=1 С = С + ао Счетчик С

Стадия 4. Построение логической схемы элементарного НП как

ОУ.

В соответствии со списком ОУ (см. табл. 1), выполняющих операции НП, строится логическая схема НП (табл.2, строка 1) совместно с его схемой управления, функционирующей в соответствии с закодированным графом НП (см. рис. 4, б). Схема НП схожа со структурами известных устройств преобразования кода во временной интервал [9, 10]. Выявление данной аналогии показывает достоверность предлагаемой методики структурного синтеза НП на основе применения аппарата ИНС, что говорит о ее проектных возможностях для формализации процедур получения структур НП, нацеленных на реализацию более сложных задач. В табл. 2 приведены логические схемы ряда НП на основе типовых узлов цифровой ВТ. Полученные схемы используются на этапах структурного синтеза ИНС-преобразователя, когда строится его логическая схема в целом.

Таблица 2

Логические (структурные) схемы элементарных НП на основе типовых узлов

цифровой ВТ

Вид преобразования

Операция преобразования НП

Схема НП на основе типовых узлов цифровой ВТ

N —У т

Ту = To (N - Nx)

fo

N^T

fy (N - Nx)5 Ty = (Ne - Nx)/fo

Разрядность к операционных узлов синтезируемых НП выбирается исходя из требуемой точности преобразования. С этой целью проводится анализ технических характеристик полученной схемы НП, например, с использованием эквивалентных схем учета его инструментальных погрешностей [10], что позволяет получить аналитические выражения, связывающие разрядность операционных узлов и цикл (или время) преобразования с допустимой погрешностью преобразования.

Реализация НП "код-временной интервал" на ПЛИС. Для получения логических схем НП на программируемых логических инте-

гральных схемах (ПЛИС) может быть применен язык VHDL, который отличается простотой программ и малой емкостью памяти, затрачиваемой на хранение кодов, описывающих эти схемы. В памяти ПЛИС могут храниться десятки-сотни тысяч описаний нейронов ФПИ.

Реализация НП "код-временной интервал" на ПЛИС иллюстрируется фрагментом программы на языке VHDL, описывающей схему 4-разрядного НП (см. рис. 3) на основе совокупности нейросетевых операций (3) и (4), когда w\ = +1, а w2 = — 1. Фактически, данная программа формирует в ПЛИС схему НП с конфигурацией, приведенной в табл. 2 (строка 1). В программе приняты следующие обозначения сигналов на этой схеме: Nx = Nx, N = Ntheta, ту = tau.

Описание структурной схемы НП "N^ т " на языке VHDL

entity statia is

port(f0 : in std_logic; - опорная частота Nx : in std_logic_vector (4 downto 1); - загружаемый код Ntheta : in std_logic_vector (4 downto 1); - порог tau : out std_logic - выходной интервал );

end statia;

architecture behav of statia is

signal cnt: std_logic_vector(4 downto 1);

signal cnt2 : std_logic_vector(4 downto 1);

signal enable : std_logic;

signal a0 : std_logic;

signal tau_in : std_logic;

begin

process(f0)

begin - счетчик схемы управления для формирования цикла преобразования

if(f0='1' andfO'event) then cnt < = cnt - "0001"; end if; end process;

process(cnt) - формирование сигнала загрузки q1 кода в счетчик C1 begin

if (cnt = "0000") then enable <= '1'; else enable <= '0'; end if; end process;

a0 <= tau_in; - формирование сигнала разрешения счета в счетчике C

process(f0) - описание счетчика C с загрузкой кода Nx

begin

if f0='1' andf0'event) then if (enable = '1') then cnt2 < = Nx; else if (a0 = '1')

then cnt2 < = cnt2 + "0001"; - инкремент счетчика

end if;

end if;

end if;

end process;

process(Ntheta,Nx) - описание схемы сравнения begin

if (Ntheta > cnt2) then tauin <= '1'; else tau in <= '0'; end if; end process; tau <= tau in; end behav;

325.89 ns

Name 335 Ons +80 .0 ns

1» f0

И Ntheta 10C 1

El Nu 1 IDf 1

tau Г -

Рис. 5. Временные диаграммы работы НП N ^ т

Экспериментальная проверка НП на базе ПЛИС, осуществленная на основе его верификации путем моделирования разработанной схемы средствами САПР, продемонстрировала ее работоспособность (рис. 5). Так, временной интервал tau для значения входного кода Nх = 9 и порога Ntheta = 5 равен tau = 4T0, где T0 = 1 /f0.

Для микроэлектронной реализации ИНС-преобразователей частотно-временных параметров сигналов на основе описанных процедур может быть использована микросхема FPGA XC2S15-5VQ100C фирмы Xilinx емкостью 15 тыс. эквивалентных вентилей [11].

Выводы. 1. Разработанная методика (порядок и содержание стадий) структурного синтеза логических схем НП как ОУ на основе типовых элементов и узлов ВТ позволяет формализовать получение всевозможных схем нейронов и синаптических связей при синтезе ИНС-преобразователей.

2. Обоснованный выбор функциональной модели НП в виде совокупности нейросетевых операций с переменными, представленными в виде потоков отдельных битов и двоичных слов, обеспечивает проведение структурного синтеза НП как аналого-цифрового устройства.

3. Применение методики синтеза проиллюстрировано на примере получения логической (структурной) схемы НП "код-временной интервал" с использованием языка описания аппаратуры для ПЛИС, что существенно сокращает сроки разработки ИНС-преобразователей формы информации, в том числе за счет создания аппаратно независимой библиотеки компонентов в виде НП.

Работа выполнена при финансовой поддержке Министерства образования и науки РФ и Российского фонда фундаментальных исследований РАН.

ЛИТЕРАТУРА

1. Локтюхин В.Н., Челебаев С.В., Антоненко А.В. Об унификации инвариантной к виду входного сигнала структуры нейросетевого аналого-цифрового преобразователя // Нейрокомпьютеры. 2010. № 4. С. 54-61.

2. Локтюхин В.Н., Челебаев С.В. Методика синтеза преобразователей импульсно-аналоговых сигналов с использованием операций нейросетевого базиса // Нейрокомпьютеры: разработка, применение. 2006. № 10. С. 57-71.

3. David W. Tank, John J. Hopfield. Simple "neural" optimization networks: an A/D converter, signal decision circuit and a linear programming circuit // IEEE Circuit and Systems. Vol. CAS-33, May 1986. P. 533-541.

4. Bang W. Lee, Bing J. Shen. Design of a neural-based A/D converter using modified Hopfield Network // IEEE Solid-State Circuits. Vol. SC-24, Aug. 1989. P. 1120-1135.

5. Avitabile G., Forti M., Manetti S., Marini M. On a class of nonsymmetrical neural networks with application to ADC // IEEE Circuit and Systems. Vol. CAS-38, Feb 1991. P. 202-209.

6. Галушкин А.И.Нейронные сети: основы теории. М.: Горячая линия-Телеком, 2010. 496 c.

7. Майоров С.А., Новиков Г.И. Принципы организации цифровых машин. Л.: Машиностроение, 1974. 432 c.

8. Локтюхин В.Н., Челебаев С.В., Антоненко А.В. Нейросетевые аналого-цифровые преобразователи / Под ред. А.И. Галушкина. М.: Горячая линия-Телеком, 2010. 128 c.

9. Смолов В.Б., Угрюмов Е.П., Артамонов А.Б. и др. Время-импульсные вычислительные устройства / под ред. В.Б. Смолова, Е.П. Угрюмова. М.: Радио и связь, 1983. 288 c.

10. Локтюхин В.Н.Микропроцессоры и ЭВМ. В 4 кн. Кн. 4. Микропроцессорные системы сбора и первичной обработки импульсно-аналоговой информации. М.: Энергоатомиздат, 2000. 156 c.

11. Локтюхин В.Н., Челебаев С.В., Антоненко А.В. Процедуры настройки нейросе-тевых преобразователей формы информации на базе программируемых сверхбольших интегральных схем // Вестник МГТУ им. Н.Э. Баумана. Сер. Приборостроение. 2009. № 3. С. 76-89.

REFERENCES

[1] Loktyukhin V.N., Chelebaev S.V., Antonenko A. V. Unification of a neural network analog-to-digital converter structure invariant to the form of an input signal. Neyrokomp'yutery [Neurocomputers], 2010, no. 4, pp. 54-61 (in Russ.).

[2] Loktyukhin V.N., Chelebaev S.V. A procedure for the synthesis of pulse analog signal converters using neural network operations. Neyrokomp'yutery: Razrab. Primen. [Neurocomputers: Dev. Appl.], 2006, no. 10, pp. 57-71 (in Russ.).

[3] Tank D.W., Hopfield J.J. Simple "neural" optimization networks: an A/D converter, signal decision circuit, and a linear programming circuit. IEEE Trans. Circuits Syst., 1986, vol. CAS-33, no. 5, pp. 533-541.

[4] Lee B.W., Shen B.J. Design of a neural-based A/D converter using modified Hopfield network. IEEE J. Solid-State Circuits, 1989, vol. SC-24, no. 8, pp. 1120-1135.

[5] Avitabile G., Forti M., Manetti S., Marini M. On a class of nonsymmetrical neural networks with application to ADC. IEEE Trans. Circuits Syst., 1991, vol. CAS-38, no. 2, pp. 202-209.

[6] Galushkin A.I. Neyronnye seti: osnovy teorii [Neural networks: fundamentals of the theory]. Moscow, Goryachaya Liniya-Telekom Publ., 2010. 496 p.

[7] Mayorov S.A., Novikov G.I. Printsipy organizatsii tsifrovykh mashin [Principles of organization of digital computers]. Leningrad, Mashinostroenie Publ., 1974. 432 p.

[8] Loktyukhin V.N., Chelebaev S.V., Antonenko A.V. Neyrosetevye analogotsifrovye preobrazovateli [Neural network analog-to-digital converters]. Мoscow, Goryachaya Liniya-Telekom Publ., 2010. 128 p.

[9] Smolov V.B., Ugryumov E.P., Artamonov A.B. Vremya-impul'snye vychislitel'nye ustroystva [Time-pulse computing devices]. Moscow, Radio i Svyaz' Publ., 1983. 288 p.

[10] Loktyukhin V.N. Mikroprotsessory i EVM. Kn. 4. Mikroprotsessornye sistemy sbora i pervichnoy obrabotki impul'sno-analogovoy informatsii [Microprocessors and computers. Book 4. Microprocessor-based systems for the collection and initial processing of pulse-analog information]. Moscow, Energoatomizdat Publ., 2000. 156 p.

[11] Loktyukhin V.N., Chelebaev S.V., Antonenko A.V. Procedures for setting neural network information converters based on programmable VLSI circuits. Vestn. Mosk. Gos. Tekh. Univ. im. N.E. Baumana, Priborostr. [Herald of the Bauman Moscow State Tech. Univ., Instrum. Eng.], 2009, no. 3, pp. 76-89 (in Russ.).

Статья поступила в редакцию 10.01.2013

Виктор Николаевич Локтюхин — д-р техн. наук, профессор кафедры "Биомедицинская и полупроводниковая электроника" (БМПЭ) Рязанского государственного радиотехнического университета (РГРТУ), окончил РГРТУ в 1970 г. Специалист в области применения аппарата искусственных нейронных сетей для синтеза интеллектуальных вычислительных систем.

РГРТУ, Российская Федерация, 390005, г. Рязань, ул. Гагарина, 59/1.

V.N. Loktyukhin graduated from the Ryazan State Radio-Engineering University in 1970. Dr. Sci. (Eng.), professor of "Biological-Medical and Semiconductor Electronics" department of the Ryazan State Radio-Engineering University. Specializes in the field of application of artificial neuron nets for synthesis of intellectual computing systems. Ryazan State Radio-Engineering University, ul. Gagarina, 59/1, Ryazan, 390005 Russian Federation.

Сергей Валерьевич Челебаев — канд. техн. наук, доцент кафедры БМПЭ РГРТУ, окончил РГРТУ в 2002 г. Специалист в области применения аппарата искусственных нейронных сетей для синтеза преобразователей формы представления информации. РГРТУ, Российская Федерация, 390005, г. Рязань, ул. Гагарина, 59/1.

S.V. Chelebaev graduated from the Ryazan State Radio-Engineering University in 2002. Cand. Sci. (Eng.), assoc. professor of "Biological-Medical and Semiconductor Electronics" department of the Ryazan State Radio-Engineering University. Specializes in the field of application of artificial neuron nets for synthesis of converters of data presentation form. Ryazan State Radio-Engineering University, ul. Gagarina, 59/1, Ryazan, 390005 Russian Federation.

Андрей Васильевич Антоненко — аспирант кафедры БМПЭ РГРТУ, окончил РГРТУ в 2007 г. Специализируется в области обучения нейросетевых преобразователей формы представления информации.

РГРТУ, Российская Федерация, 390005, г. Рязань, ул. Гагарина, 59/1.

A.V. Antonenko raduated from the Ryazan State Radio-Engineering University in 2007. Post-graduate of "Biological-Medical and Semiconductor Electronics" department of the Ryazan State Radio-Engineering University. Specializes in the field of training of neuron net converters of data presentation form.

Ryazan State Radio-Engineering University, ul. Gagarina, 59/1, Ryazan, 390005 Russian Federation.

i Надоели баннеры? Вы всегда можете отключить рекламу.