Научная статья на тему 'Анализ сердечного ритма в режиме реального времени на основе искусственных нейронных сетей'

Анализ сердечного ритма в режиме реального времени на основе искусственных нейронных сетей Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
240
43
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
АНАЛИЗ СЕРДЕЧНОГО РИТМА / HEART RATE ANALYSIS / КАРДИОРИТМОГРАММА / ИСКУССТВЕННЫЕ НЕЙРОННЫЕ СЕТИ / ARTIFICIAL NEURAL NETWORKS / ЯЗЫК ОПИСАНИЯ АППАРАТУРЫ / HARDWARE DESCRIPTION LANGUAGE / ПРОГРАММИРУЕМЫЕ ЛОГИЧЕСКИЕ ИНТЕГРАЛЬНЫЕ СХЕМЫ / PROGRAMMABLE LOGIC INTEGRATED CIRCUITS / CARDIORYTHMOGRAM

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Мельник Ольга Владимировна, Челебаев Сергей Валерьевич, Челебаева Юлия Андреевна

В статье изложены вопросы анализа сердечного ритма в режиме реального времени на основе аппарата искусственных нейронных сетей. Разработана система преобразования и обработки биомедицинских сигналов кардио-ритмограммы на основе искусственных нейронных сетей на программируемой логической интегральной схемы (ПЛИС). Преимуществами системы по сравнению с аналогами являются введение нейросетевого преобразователя частотно-временных параметров сигналов кардиоритмограммы в код вместо преобразователя на основе жесткой логики, а также введение блока нейросетевой обработки сигналов кардио-ритмограммы. Система реализована на языке описания аппаратуры VHDL для ПЛИС. Проведено ее моделирование в среде Altera Quartus II.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Мельник Ольга Владимировна, Челебаев Сергей Валерьевич, Челебаева Юлия Андреевна

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Heart rate analysis in real time based on artificial neural networks

The article describes the problems of analysis of heart rate in real time, based on artificial neural networks mode. A conversion and processing system of cardiorhythmogram biomedical signals based on artificial neural networks on FPGAs is designed. The advantage of the system compared to peers is to introduce neural network converter of frequency-time parameters of cardiorhythmogram signals to code instead of the converter on the basis of rigid logic, as well as the introduction of the neural network unit for cardiorhythmogram signals processing. The system is implemented in hardware description VHDL for FPGAs. A simulation of it in Altera Quartus II environment is performed.

Текст научной работы на тему «Анализ сердечного ритма в режиме реального времени на основе искусственных нейронных сетей»

УДК 615.47.03:616.12-073.96

О. В. Мельник, С. В. Челебаев, Ю. А. Челебаева

Анализ сердечного ритма в режиме реального времени на основе искусственных нейронных сетей

Ключевые слова: анализ сердечного ритма, кардиоритмограмма, искусственные нейронные сети, язык описания аппаратуры, программируемые логические интегральные схемы.

Keywords: heart rate analysis, cardiorythmogram, artificial neural networks, hardware description language, the programmable logic integrated circuits.

В статье изложены вопросы анализа сердечного ритма в режиме реального времени на основе аппарата искусственных нейронных сетей. Разработана система преобразования и обработки биомедицинских сигналов кардио-ритмограммы на основе искусственных нейронных сетей на программируемой логической интегральной схемы (ПЛИС). Преимуществами системы по сравнению с аналогами являются введение нейросетевого преобразователя частотно-временных параметров сигналов кар-диоритмограммы в код вместо преобразователя на основе жесткой логики, а также введение блока нейросетевой обработки сигналов кардио-ритмограммы. Система реализована на языке описания аппаратуры VHDL для ПЛИС. Проведено ее моделирование в среде Altera Quartus II.

Введение

Нарушения ритма сердца приводят к осложнениям многих сердечно-сосудистых и экстракарди-альных заболеваний. Возникновение аритмий часто значительно утяжеляет клиническое течение заболеваний и нередко является причиной смерти кардиологических больных. Вместе с тем существуют и абсолютно безопасные нарушения ритма [1]. К задачам автоматизированного анализа сердечного ритма в режиме реального времени относится обнаружение ранних аритмий в целях их эффективного лечения и предотвращения катастрофических и непосредственно угрожающих жизни аритмий.

Для классификации особенностей сердечного ритма на основе обработки кардиоинтервалограм-мы может быть использован аппарат искусственных нейронных сетей. Существенной предпосылкой к применению нейросетевых технологий при проектировании устройства для анализа сердечно-

го ритма стало появление на рынке электронных компонентов микросхем ПЛИС (программируемые логические интегральные схемы), обладающих свойством многократного перепрограммирования. Применение программируемой логики делает возможным и экономически эффективным выполнение в аппаратной среде интеллектуальной обработки, включая сжатие, буферизацию, пакетирование данных и т. д.

Использование нейросетевого преобразователя частотных сигналов в цифровой код с последующей обработкой на основе ПЛИС позволит расширить интеллектуальные возможности устройства для анализа сердечного ритма.

Разработка структуры устройства для анализа сердечного ритма

Обобщенная структурная схема. Структурная схема предполагаемого устройства показана на рис. 1. Устройство состоит из двух основных блоков: нейросетевой подсистемы преобразования и нейросетевой подсистемы обработки сигналов кар-диоритмограммы. С выхода подсистемы преобразования снимаются значения RR-интервалов, на основе которых подсистема обработки выдает диагностические признаки. Обе подсистемы реализуются на основе программируемой логической интегральной схемы (ПЛИС). Конфигурационное ПЗУ хранит конфигурационную последовательность для ПЛИС. Кварцевый генератор задает тактирование устройства.

Выбор нейронной сети для построения структуры преобразователя частотно-временных интервалов кардиоритмограммы в цифровой код. Преобразователь состоит из двух компонентов. Первая компонента преобразует период в унитарный числоимпульсный код (рис. 2, а), а вторая компонента — унитарный код в двоично-позиционный

Клиническая медицина

роговые, а — пороговая импульсная функция

Сигнал КРГ

Рис. 1

Структурная схема устройства для анализа сердечного ритма

(рис. 2, б). Вторая компонента представляет собой каскад двухслойных гибридных сетей [2].

Нейросетевая многослойная структура ФПИ уп \hTfo ] ^ Ух как основная компонента преобразователя х ^ ух характеризуется сложностью обучения сети на решение задачи преобразования, особенно с увеличением числа т разрядов представления Ух. Для упрощения процедуры обучения целесообразно перейти к многокаскадной структуре ФПИ уп \йТ0 ] ^ Ух [3]. В каждом ее ¿-м каскаде (см. рис. 2, б) последовательность единичных импульсов «¿_1 преобразуется в цифру Ьь позиционного

* о

кода ух, а также в прореженную в 2 раза последовательность а- кода уп - = Е^ (уп - _1 / 2) (введено

обозначение у*п о = у*), необходимую для вычисления Рь+1 в следующем каскаде:

= F|2)

в- [ЬТ0] =

X иЯ^(Ц1гг)вь[(Н _ 1)Т0]

I г=1

= рЮлЛО

и21гг) а- _1 [НТо ])

1- (1)

а-[НТо] = Я(1)(и11з>1)в-[(Н _ 1)То] + ^а-_1[НТо]), (2)

где- = [1, т]; ао[Т)]ао[2То]...ао[(2т)То] = у*[То] —

активации; и — весовые коэффициенты

соответственно между распределительным и скрытым, скрытым и выходным слоями рекуррентной

сети ¿-го каскада; и(Чь) — весовые коэффициен-

у>3

ты между распределительным и выходным слоями персептронной сети ¿-го каскада.

Совокупность (1) и (2) описывает функционирование преобразующей последовательности каскадов в виде двухкомпонентных сетей, формирующих значения разрядов Ь- и а-. Каждый ¿-й каскад представляет собой комплекс из двухслойной рекуррентной и однонейронной персептронной сетей, выполняющих совместно одноразрядное преобразование. При этом входные переменные х^ для нейронов НУ2о,-) ¿-х каскадов примут значения а-_1. Причем для 1-го каскада последовательность значений ао [НТо] образует код у*[То] = ао[Т)]... ао[(2т )То]. Тактирование каскадов выполняется импульсами частоты fо = 1/То с периодом То, совпадающим с эталоном преобразования хоп = Также возможна синхронизация импульсами преобразуемой частоты fx.

Двухслойная рекуррентная сеть как основная часть ¿-го каскада содержит два нейрона НУ|°'-)

распределительного слоя, два нейрона НуГ°'Ь-) скрытого слоя и один нейрон НУ22'выходного слоя. Нейрон НУ31'персептронной сети решает задачу преобразования у*п -_1 в уп1 = Ent(yn -_1 /2).

Нейроны НУ^ Ь) _ НУ21, Ь) скрытого слоя совместно с выполняют операцию преобразования

1 „ *

переменной уп -, заданной в виде последовательности единиц а-_ 1 [То] ... а-_1[(2т)То], в двоичную цифру Ь- = {о, 1} позиционного кода уХ [4, 5]. Следует отметить, что входной сигнал для ¿-го каскада сети задается последовательностью единичных

„(2,Ь)

входная преобразуемая величина; и — по-

а)

ЭЗ

Вход

Дхо

НУ(о)

1о)^1о)

НУ2о)

НУ

X

41 Ахо +

1

о

Вход х

б)

Реккурентная сеть

(1)

ао

Уп

Рис. 2 \ Структура нейросетевого преобразователя «период — код»

1

RR1 RR2 RR400

Рис. 3 \ Структурная схема подсистемы преобразования частотно-временных параметров КРГ

значений щ_1[Л70], снимаемой с выхода нейрона

НУ^'1 -1) предыдущего каскада (см. рис. 2, б). Матрицы XУ*^ и Уу^ , описывающие входные и выходные сигналы ¿-го каскада, имеют вид:

Х'(О[М0] = [&[(Л _ 1)Т0], а1 _1[Л7Ь]]; (3)

= [а* [ОД; У*(0 = [Р4 [(Л)То]],

(4)

(5)

где I = [1, т]; уп[То] = ао[Т)]...а)[(2т)Т)] — преобразуемый числоимпульсный сигнал.

В матричной форме совокупность (1) и (2) с учетом матриц входов-выходов (3), (4) и (5) представляется как

^(1, ¿) = (1, ¿)т х '(¿)); У*(0 = ^_(2) (^ (2,')т ^(и)т); I = , (6) УП(0 = ^2(1)(^ (1,°т X '(0),

где Ж(1,^) т и т — транспонированные матрицы

весовых коэффициентов между распределительным и скрытым, а также скрытым и выходным слоями

1-й слой

2-й слой

ну12)

Рис. 4 \ Нейронная сеть для нахождения градаций ЧСС

биотехносфера

| № 6(48)72016

31

Клиническая медицина

гг [¿]

гг [1 — 1] 1

ЭЗ

гг [1 - 2] г

ЭЗ

ЯУ{2)

Количество признаков по Мобитц-1

Количество признаков по Мобитц-2

Рис. 6

Рекуррентная сеть для определения наличия синоаурикулярной блокады по Мобитц-1 и Мобитц-2

рекуррентной сети ¿-го каскада; ^1,1)т — транспонированные матрицы весовых коэффициентов между распределительным и выходным слоями персептронной сети 1-го каскада; и

пороговые, а ^^ — пороговая импульсная функции активации.

Обучение рассматриваемой сети (см. рис. 2, б) на реализацию поставленной задачи преобразования сводится к последовательному обучению каскадов сети как одноразрядных ФПИ. Причем рекуррентная и персептронная сети, образующие содержание каскадов, обучаются раздельно [6]. Например, для задачи линейного преобразования число-импульсной величины уп[НТо] в ее позиционный код Уи = втвт-1... Р1 полученные в результате их обучения значения весов синаптических связей рекуррентной и песептронной составляющих гибридной сети каскадов показаны на рис. 2, б, а также представлены в виде матриц

1 -1

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

ж^) =

-1 1

; V(1,г) = 11 11;

ф (2 ¿) = 1 1

0 0

I = [1, т].

(7)

В результате обучения сети на решение задачи линейного преобразования значения порогов ак-тивационных функций для структуры на рис. 2, б

будут следующими: б!1,¿) = 1, 021,г) = 1, б12,г) = 1 и

еЗ1,= 2.

Разработанная структурная схема подсистемы преобразования частотно-временных параметров КРГ показана на рис. 3.

Выбор нейронной сети для анализа кардиорит-мограммы. Сеть для нахождения градаций частоты сердечных сокращений (ЧСС) показана на рис. 4. Такая сеть представляет собой двухслойный пер-септрон. Входом сети является значение ЧСС, а выходами — градации ЧСС.

Для определения типа синусового ритма на КРГ выбран однослойный персептрон (рис. 5). Входами сети являются минимальное и максимальное значения КК-интервала.

Для определения наличия синоаурикулярной блокады по Мобитц-1 и Мобитц-2 используется двухслойная рекуррентная сеть (рис. 6). Входом являются поступающие значения КК-интервала. Под обозначением ЭЗ понимается элемент задержки.

На рис. 7 показана предложенная структура нейросети для определения асимметричного распределения гистограммы, построенной на основе кардиоритмограммы. Введены следующие обозначения:

— значение ¿-го столбца гистограммы;

тах — значение максимального столбца гистограммы;

пот_тах — номер максимального столбца гистограммы.

На рис. 8 показана предложенная структура нейросети для установления амодального распределения гистограммы.

nom max

Рис. 7

Нейронная сеть для нахождения асимметричной гистограммы

Асимметрическое распределение слева

Асимметрическое распределение справа

Моделирование работы системы для последующей реализации на ПЛИС

К эффективным средствам реализации алгоритмов на ПЛИС относятся языки, обобщенно называемые HDL (Hardware Description Language — язык описания аппаратуры), одним из которых является VHDL [7]. Язык VHDL (по сравнению с графическими схемотехническими редакторами, представляет собой более автоматизированную и структурированную методику разработки цифровых устройств, позволяющую проектировать структуры из миллионов логических вентилей, что невозможно при разработке вручную.

Разработаны программы для подсистем преобразования и подсистем обработки на языке VHDL.

В качестве примера приведено описание на языке VHDL модуля для нахождения градаций ЧСС:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

LIBRARY altera_mf;

USE altera_mf.altera_mf_components.all;

entity chss is Port (c : in std_logic;

chss : in std_logic_vector(11 downto 0); b1, b2, b3, b4, b5 : out std_logic );

end chss;

Architecture Beh of chss is signal z1,z2,z3,z4 : std_logic; signal chss_reg : std_logic_vector(11 downto 0); begin

3!

Клиническая медицина

Амодальное распределение

Рис. 8

Нейронная сеть для нахождения амодальной гистограммы

then z1 <= '1'; else z1 <= '0'; end if;

if (chss_reg > "000000111100") then z2 <= '1'; else z2 <= '0'; end if;

if (chss_reg > "000001010000") then z3 <= '1'; else z3 <= '0'; end if;

if (chss_reg > "000001100100")

then z4 <= '1';

else z4 <= '0';

end if;

end process;

process(c) begin

if (c='1' and c'event) then

b1 <= not z1;

b2 <= z1 and (not z2);

b3 <= z2 and (not z3);

b4 <= z3 and (not z4);

b5 <= z4;

end if;

end process;

end Beh.

На рис. 9 показаны временные диаграммы работы нейронной сети для нахождения градаций ЧСС (обозначения: c — тактовая частота; chss — ЧСС; b1 — признак «брадикардия»; b2 — признак «умеренное урежение»; b3 — признак «нормальная частота»; b4 — признак «умеренное учащение»; b5 — признак «тахикардия»).

process (c) begin

if (c='1' and c'event) then chss_reg <= chss; end if; end process;

process(chss_reg) begin

if (chss_reg > "000000110010")

Заключение

Разработана система преобразования и обработки биомедицинских сигналов кардиоритмограм-мы на основе искусственных нейронных сетей на ПЛИС. Преимуществом системы по сравнению с аналогами является введение нейросетевого преобразователя частотно-временных параметров сигналов кардиоритмограммы в код вместо преобразова-

max

I Simulation Waveforms

|Mästet Time Bai: Ops ■|.| Partei: 17.77 ns Inteival 17.77 ns Start: End:

Name Value at 10.pns 20.pns 30 0 ns 40.pns 50.pns 60.0 ns 70.pns 80.0 ns 90.pi» 100,0ns 110,0ns 120,0 ns 130,0 ns

Ops >s 1

с BO I-1 1-1 1-1 1-1 1-1 I-1 1-1 1-1 1-1 1-1 1-1 1-1 1-1 1-1 1-1 1—

u- H chss U 45 к 45 X 55 X 75 X « 5 * 106

Ы BO 1-1

b2 80 |- |_

si. ЬЗ 80 |- -1

M 80 |- -1

4» Ь5 80

1

Рис. 9 I Временные диаграммы работы нейронной сети для нахождения градаций ЧСС

теля на основе жесткой логики, а также введение блока нейросетевой обработки сигналов кардиорит-мограммы. Система реализована на языке описания аппаратуры VHDL для ПЛИС. Проведено ее моделирование в среде Altera Quartus II.

Предлагаемый подход к построению устройств контроля сердечного ритма в режиме реального времени может быть использован как для мониторинга уже диагностированной аритмии, так и для профилактики и ранней диагностики, особенно у людей с высоким риском сердечно-сосудистых заболеваний.

Исследование выполнено при финансовой поддержке РФФИ в рамках научного проекта № 16-37-60114 мол_а_дк «Методы и автоматизированная система для контроля функционального и психоэмоционального состояния человека в процессе повседневной деятельности».

Литература

1. руководство по кардиологии: учеб. пособие в 3 т. / Под ред. Г. И. Сторожакова, А. А. Горбаченкова. 2009. Т. 3. 512 с.

2. челебаев С. В., челебаева Ю. А. Нейросетевые преобразователи частотно-временных параметров сигналов в цифровой код двух переменных на основе персептронных сетей // Успехи современной радиоэлектроники. 2014. № 10. С. 50-56.

3. челебаев С. В., челебаева Ю. А. Структуры нейросетевых преобразователей частотно-временных параметров сигналов в цифровой код двух переменных на основе модифицированных персептронных сетей // Вестн. РГРТУ. 2014. Вып. 50, № 4, ч. 2. С. 58-64.

4. челебаев С. В., челебаева Ю. А. Разработка структур преобразователей частотно-временных параметров сигналов в код двух переменных на основе радиально-базисных сетей // Вестн. РГРТУ. 2015. Вып. 54, № 4, ч. 1. С. 78-83.

5. Chelebaev S. V., Chelebaeva Y. A. Converters structures synthesis of time-and-frequency signals parameters in the code of two variables on the radial-based network // 2016 5th Mediterranean Conference on Embedded Computing MECO, June 15th — 17th, 2016, Bar, Montenegro.

6. Chelebaev S. V., Chelebaeva Y. A. The Converter Structure of Time-and-Frequency Signals Parameters in a Code of Two Variables on the Recurrent Network Basis // 2015 4th Mediterranean Conference on Embedded Computing MECO, June 14th — 18th, 2015, Budva, Montenegro. Р. 199-202.

7. Бибило П. Н. Основы языка VHDL. М.: Солон-Р, 2002. 200 c.

Юбилейная научно-образовательная конференция СОВРЕМЕННЫЕ ПРОБЛЕМЫ И ИННОВАЦИОННЫЕ ТЕХНОЛОГИИ В АНЕСТЕЗИОЛОГИИ И РЕАНИМАТОЛОГИИ

13-14 апреля 2017

Санкт-Петербург, Конгресс-центр «ПетроКонгресс»

К бо-летию Научно-практического общества анестезиологов и реаниматологов Санкт-Петербурга

ОРГАНИЗАТОРЫ

Комитет по здравоохранению Санкт-Петербурга Региональная общественная организация «Врачи Санкт-Петербурга» Научно-практическое Общество анестезиологов и реаниматологов Санкт-Петербурга 00 «Человек и его здоровье»

ОСНОВНЫЕ НАУЧНЫЕ НАПРАВЛЕНИЯ

Организация анестезиологической и реаниматологической помощи в мегаполисе Современные подходы в аккредитации в анестезиологии и реаниматологии Интенсивная терапия сепсиса и септического шока Современные методы интенсивной терапии дыхательной недостаточности Принципы безопасности пациента при проведении анестезии и интенсивной терапии

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

ТЕХНИЧЕСКИЙ ОРГАНИЗАТОР

ООО «Интернешнл Конгресс Сервис» (ООО «Ай Си Эс»)

ПРЕДСЕДАТЕЛЬ ОРГКОМИТЕТА

Президент Научно-практического Общества анестезиологов и реаниматологов Санкт-Петербурга Щеголев Алексей Валерианович

Нутритивно-метаболическая поддержка пациентов в отделении реанимации и интенсивной терапии Новые подходы в инфузионно-трансфузионной терапии Решение проблемы «трудных дыхательных путей»

ПУБЛИКАЦИЯ ТЕЗИСОВ

Срок приема тезисов - до 1 марта 2017 года

Публикация тезисов - бесплатно

Сборник тезисов будет зарегистрирован в РИНЦ

Предварительная программа, подробная информация и регистрация: www.congress-ph.ru/event/anestez +7 (812) 380 3153; 380 3154 welcome@congress-ph.ru

www.congress-ph.ru

ООО «Ай Си Эс»

i Надоели баннеры? Вы всегда можете отключить рекламу.