Научная статья на тему 'ДЕКОМПОЗИЦІЯ МАТЕМАТИЧНОЇ МОДЕЛІ АПАРАТНОГО БІТ-ПОТОКОВОГО ОБЧИСЛЮВАЧА ІРРАЦІОНАЛЬНИХ ФУНКЦІЙ'

ДЕКОМПОЗИЦІЯ МАТЕМАТИЧНОЇ МОДЕЛІ АПАРАТНОГО БІТ-ПОТОКОВОГО ОБЧИСЛЮВАЧА ІРРАЦІОНАЛЬНИХ ФУНКЦІЙ Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
0
0
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
функціональне перетворення / бітові потоки даних / обчислення біт-потокових даних / метод / апроксимація / математична модель / вибірка / functional conversion / bit-stream data / bitstream computing / method / approximation / mathematical model / sample

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Шкіль Олександр Сергійович, Ларченко Богдан Дмитрович, Ларченко Ліна Вікторівна

Пропонуються математичні моделі апаратних бітпотокових обчислювачів дробово-раціональних функцій і функцій добування кореня, які є складовими математичної моделі апаратного біт-потокового обчислювача ірраціональних функцій. Математична модель апаратного біт-потокового обчислювача добування кореня отримується аналітично з використанням методу формування приростів апроксимуючих висхідних ступінчастих функцій. Математична модель апаратного біт-потокового обчислювача дробово-раціональних функцій отримується алгоритмічним шляхом неперервного паралельного обчислення значень двох раціональних функцій і формуванням вихідного біт-потоку обчислювача в моменти рівності їх значень. Запропоновані математичні моделі апаратних біт-потокових обчислювачів забезпечують абсолютну похибку відтворення функцій 0,5 одиниці молодшого біту аргументу.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Шкіль Олександр Сергійович, Ларченко Богдан Дмитрович, Ларченко Ліна Вікторівна

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «ДЕКОМПОЗИЦІЯ МАТЕМАТИЧНОЇ МОДЕЛІ АПАРАТНОГО БІТ-ПОТОКОВОГО ОБЧИСЛЮВАЧА ІРРАЦІОНАЛЬНИХ ФУНКЦІЙ»

УДК 681. 325

ДЕКОМПОЗИЦ1Я МАТЕМАТИЧНО1 МОДЕЛ1 АПАРАТНОГО Б1Т-ПОТОКОВОГО ОБЧИСЛЮВАЧА 1РРАЦ1ОНАЛЬНИХ ФУНКЦ1Й

ШК1ЛЬ О.С., ЛАРЧЕНКОБ.Д., ЛАРЧЕНКО Л.В. Пропонуються математичнi моделi апаратних 6iT-потокових обчислювачiв дробово-рацiональних функцш i функцiй добування кореня, яш е складови-ми математично! моделi апаратного бiт-потокового обчислювача iррацiональних функцш. Математична модель апаратного бгг-потокового обчислювача добування кореня отримуеться аналгшчно з використан-ням методу формування приростiв апроксимуючих висхiдних ступiнчастих функцш. Математична модель апаратного бгт-потокового обчислювача дробо-во-рацiональних функцiй отримуеться алгоршмчним шляхом неперервного паралельного обчислення зна-чень двох рацiональних функцш i формуванням вихвдного бiт-потоку обчислювача в моменти рiвностi !х значень. Запропоноваш математичнi моделi апаратних бгт-потокових обчислювачiв забезпечують абсолютну похибку ввдтворення функцiй 0,5 одиницi молодшого бiту аргументу.

Ключовi слова: функцюнальне перетворення, бiтовi потоки даних, обчислення бгт-потокових даних, метод, апроксима^, математична модель, вибiрка. Key words: functional conversion, bit-stream data, bitstream computing, method, approximation, mathematical model, sample. 1. Вступ

При наростанш складносп завдань по оргашзаци обчислень в таких областях, як сенсорика, штер-нет речей, промисловий штернет, робототехшка, бiоелектронiка та iншi, де актуальш збiр i перетворення шформаци вiд велико! кiлькостi рiзнорiдних джерел, одним з напрямюв, пов'яза-них 3i створенням нових базових елеменпв для побудови обчислювальних систем, е розробка пристро!в, що виконують перетворення бггових потокiв даних. Це пояснюеться тим, що б№ потокова форма ефективно застосовуеться як в сенсорних iнтерфейсах, так i при формуванш керуючих сигналiв [1,2]. При цьому, бiтовi потоки являють собою iмпульси електрично! або ш-шо! природи з одиничним значенням ампттуди, у яких iнформативнi параметри так чи шакше пов'язанi з часом.

Бiт-потоковi форми дозволяють здiйснювати передачу i обробку шформаци способами, що характеризуются певними особливостями - мож-ливiстю послщовно! обробки потоюв в темпi надходження одиничних iмпульсiв та високою

завадостiйкiстю внаслщок непозицiйного характеру i е^валентност одиничних iмпульсiв по вiдношенню до !х ваги в цифровому кодi [3]. Переваги бiт-потокового подання сприяють ро-зробцi вiдповiдних пристро!в для систем управ-лiння i контролю [4, 5], а також пiдходiв до оргашзаци обчислювальних перетворень. При проведенш математично! обробки первинно! вимiрювальноi iнформацi!, що отримують вщ вимiрювальних сенсорiв потрiбно виконання рiз-них нелiнiйних перетворень бiтових потоюв даних. Завдяки можливостям штегральних техно-логiй, що активно розвиваються, промiжний функцiональний перетворювач може бути впро-ваджений в структуру сенсора, для отримання готового до використання обробленого цифрового сигналу. Обробка передбачае як перетворення форми подання шформаци, так i виконання лше-аризаци сигналу сенсора з використанням рiзних функцiй. При цьому перевага вщдаетъся сенсорам, що забезпечують безперервний процес вимiрювань i перетворень.

1нтелектуальш вимiрювальнi системи часто пе-редбачають первинну обробку вимiрювальноi iнформацii для прийняття рiшень про результати вимiрювань з метою реалiзацii управлiння. При цьому в бшьшосп випадкiв потрiбнi плавнi змiни сигналiв управлiння, наприклад, при впливi на виконавчi мехашзми роботiв, манiпуляторiв, бiонiчних протезiв. [6]. Для згладжування мо-жуть використовуватися рiзнi нелiнiйнi функцii: логарифмiчна, iррацiональна, дробово-рацiональна, експоненцiальна, тригонометричнi. Як ефективну елементну базу для реатзаци б№ потокових пристро!в розглядають програмоваш логiчнi iнтегральнi схеми. 1х застосування знiмае значну кiлькiсть обмежень на складнють розроб-люваних перетворювачiв, що дозволяе при цьому досягти максимальноi технологiчноi надiйностi та швидкодii [7].

Потоковi способи передачi та обробки шформаци характеризуються можливютю реатзаци перетворення за рахунок використання методiв формування приростiв i послiдовноi обробки по-токiв у мiру надходження б™вих потокiв даних. Реалiзацiя потокового методу обчислень полягае в розгортщ кодово! iнформацii в часi з одно-часним паралельно-послiдовним виконанням перетворень над бгами потоку даних вщповщно до необхiдноi функцii.

В основi функцiонального перетворення лежить послщовне обчислення значень функцiй, що ви-

конуються для сусщшх значень аргументу. При цьому е можливiсть враховувати попередню iсторiю процесу: кожне наступне значення функци обчислювати з врахуванням шформаци, взято! з попереднього обчислення. При цьому перше обчислення здiйснюеться з врахуванням додатково! шформаци (налаштування або вве-дення початкових умов) [8].

Метою роботи е дослщження та розробка мате-матичних моделей апаратних б№потокових об-числювачiв дробово-рацюнальних функцiй i функцiй добування кореня, яю входять складо-вими до математично! моделi апаратного б№ потокового обчислювача iррацiональних функцiй. Запропонованi моделi забезпечують абсолютну похибку вiдтворення функцш 0,5 одиницi молодшого бiту аргументу. 2. Математичне обгрунтування способу обчислення ¡ррацшнальних функцiй Вiдповiдно до мети дослiдження апаратний б№ потоковий обчислювач iррацiональних функцiй, мае реатзувати неперервну функцiю

У = 1 [

I a,:

i=0

(1)

m

,y > 0, y < x

dy*

dx*

> 0

мае зворотну

* *

x = y(y ) може бути вщтворена на виходi апаратного бгг-потокового обчислювача апрокси-муючою функщею

y = [f(x)+|8 maxi], (2)

де x, y - вхщний i вихiдний бiтовi потоки даних вiдповiдно, 0, 5 < | 8 max | < 1 - задане граничне значення абсолютно! похибки вщтворення вiдповiдних неперервних функцш. В (2) квадрат-нi дужки позначають цiлу частину числа. Було показано, що процес вiдтворення функци (2) з бiт-потоковою формою подання аргументу може бути зведений до вибiрки певно! частини бiтiв Xy з вхiдного бiтового потоку даних x, но-

мери яких визначають з нерiвностi

max |) < Xy < Y(y -|8max^ +1, де Y(y -|Smax|) - функцiя, зворотна f(x).

(3)

Зазначено, що значення Xy, що обираються з

вхiдного бiтового потоку даних, можуть бути знайдеш шляхом послщовно' пiдстановки y = 1, 2, 3, ... в нерiвнiсть (3) обчисленням лiвоi i'i частини i округленням одержуваних дискретних значень в бшьшу сторону до найближчого цшого числа, або обчисленням правоi ii частини i округленням в меншу сторону. З огляду на вищесказане, нерiвнiсть (3) можна замшити рiвнiстю

Xy = [y (y)- | 5max |] + 1, (4)

де y = 1, 2, 3,...

Такий метод забезпечуе неперервний процес вщтворення функцп' (2) в реальному чаш по мiрi надходження бiтового потоку даних на вхщ апаратного обчислювача. Апаратш обчислювачi, що розглядаються, як правило, працюють в област низьких частот тому, в переважнш бiльшостi, вибiрковi значення Xj представляють бiтовим потоком даних, що ютотно спрощуе 'х технiчну реалiзацiю [10].

Отже, неперервна функщя (1) може бути вщтво-рена а виходi пристрою апроксимуючою функцieю

де 1, ai - цiлi додатнi числа.

В [9] розглянуто метод формування прироспв висхщних функцiй. Зазначено, що неперервна

функщя y * = f(x *), яка обмежена умовами

У = [

% a!X'

[ —-+ 1 d1max |] + 1 d2max

m

(5)

де x - аргумент функци, що представляе собою б™вий потiк даних; 1, ai - цiлi додатш числа;

I 8

1max

I -

граничне

значення n

абсолютно!

x

i

на число m;

похибки дiлення полшома X ai

i=0

1 d2 max 1 - граничне значення абсолютно! похибки добування кореня. На виходi пристрою формусться бiтовий потш даних у. Абсолютна похибка обчислення дробово-рацiонально! функцi! виникае при подш полiнома на число m та при добуваннi кореня i може бути забезпечена 0,5 одинищ молодшого бiту числа x. При обчисленш полiномiв з цiлими коефщентами похибка обчислення вiдсутня. При абсолютних похибках | 5jmax I=I d2max I = 0,5

забезпечуеться мшмально можлива похибка вщтворення задано! функци, так як i результат дшення, i результат добування кореня округляеться до найближчого цшого числа. З урахуванням абсолютно! мшмально можливо! похибки вiдтворення функцi!, апаратний б№

k

\

потоковий обчислювач iррацiональних функцiй мае peani3yBara функцiю

У = [

Z a!X'

i=0

m

+ 0,5] + 0,5].

(6)

Z a,:

У* =

(7)

Z v

j=o

Z aixi

y = [

i=0

-]

(8)

Z b,xJ j=0

£ aV > y £ bjxJ

i=0 j=0

При обчисленш функци (6) необхщно послiдовне виконання двох eTaniB: на першому еташ вико-нати обчислення дробово-рaцiонaльноï функци, що е в шдкореневому вираз^ на другому - вико-нати добування кореня з числа, отриманого на першому eTani в рeзyльтaтi обчислення дробово-рaцiонaльноï фyнкцiï.

Отримаемо мaтeмaтичнi модeлi апаратних б№ потокових обчислювaчiв дробово-рaцiонaльноï фyнкцiï i фyнкцiï добування кореня, що е складо-вими математично1' модeлi апаратного бгг-потокового обчислювача iррaцiонaльних фyнкцiй.

3. Математичнi моделi апаратних 6ït-потокових обчислювачiв дробово-

рацюнальних функцш

Значна кшьюсть вщтворюваних на прaктицi еле-ментарних функцш забезпечуеться апрокси-мaцiею дробово-рaцiонaльними функщями. Апaрaтнi бiт-потоковi обчислювaчi дробово-рацюнальних фyнкцiй мають широке застосу-вання i в загальному випадку рeaлiзyють непе-рервну фyнкцiю

де y = 1, 2, 3,...

З нeрiвностi (9) випливае, що першому (y=1) бггу вихiдного бiтового потоку обчислювача мае вщповщати той бгг xi вхiдного бiтового потоку x=1, 2, 3,., при якому буде виконано нeрiвнiсть

£a; xi > £bjx1

i=0 j=0

(10)

Аналопчно, другому вихiдномy бiтy (y=2) обчислювача мае вiдповiдaти бiт Х2 бiтового потоку х, при якому буде виконано нeрiвнiсть

> 2 ]TbjxJ (11)

i=0 j=0

Для вихiдного бiт-потокy y = 3, 4, 5. будуть виконаш aнaлогiчнi нeрiвностi вiдповiдно. Отже, в результат отримаемо систему нeрiвностeй

£aix| > £Tbjxj ,

i=0 j=0

n

£ atx2 > 2 £ bjxJ

i=0 n

j=0

£ atx3 > 3 £ bjxJ

(12)

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

i=0

j=0

де i, j, ai , bj - цiлi додaтнi числа.

Пристрой що виконують тaкi перетворення, як

правило, вiдрiзняються простотою техшчно1' ре-

aлiзaцiï.

В [11] було отримано систему нeрiвностeй, що може бути рeaлiзовaнa апаратним бiт-потоковим дробово-ращональним обчислювачем. Зазначено, що з урахуванням функци (2) i абсолютно!'

похибки вщтворення |ômax| = 1 апроксимуюча

дробово-ращональна фyнкцiя набувае вигляду

£aixy > y £ jy .

i=0 j=0

Тeхнiчнa рeaлiзaцiя дробово-рaцiонaльного обчислювача е бiльш простою, якщо систему нeрiвностeй (12) представити у виглядi рiзниць з урахуванням рiвностeй

n n n n

£aixy = £aixy - £aix,y_i + £а;хУ_1 (13) i=0 i=0 i=0 i=0

y ££bjXyy = y ££bjXyy _(y _ 1)£bjXy- + (y _ 1)£bjXy_i .

j=o J j=0 ' j=0 ' j=0

Отже, система нeрiвностeй, що представляе собою математичну модель бiт-потокового обчислювача дробово-рaцiонaльноï функци (8) в рiзницях мае вигляд

£a;xj >]£bjxj , i=0 j=0

]Taix2 - ]Taixii + Di > 2£bx2 - £bjx1 ,

i=0 i=0

j=0 j=0

Так як фyнкцiя (8) не мае aнaлiтичного виразу зворотноï ш фyнкцiï, i обчислення Xy не е можливим за формулою (3), опустивши квадратш дужки можна перейти до нeрiвностi

££aix3 - ££aix2 + А2 > 3£b^3 -2££bjxJ2 ,(14)

i=0 i=0 ■ "

j=0

j=0

k

[

¿bjxJy - (y -1)2

i=0 i=0 " j=0 j=0 ■ - i=0

2aixy - 2ai+ Ay_i >y2bjxy -(y-1)2bjXJy_1. 2Xa,x' >m(2y-1) (19)

В систем! нерхвностей (14) Дy-1 визначаеться 3 . не^вност (19) випливае, що першому (y=1)

б1ту вихщного б1т-потоку апаратного

ay-1 = Ê^y-У3^ + Dy-2 - y ¿bjxy + (y-1) /^bjX^i(15) обчислювача буде вiдповiдати той 6ît xi вхiдного

1=0 1=0 j=0 j=0 6iT-n0T0Ky x=l, 2, 3,..., при якому буде виконана

З (14) випливае, що що визначення вибiрок Xy нерЬшсть може бути зведено до обчислення прироспв n

n . a;xi > m (20)

гратчасто! фyнкцiï Уaix змiнноï x на кожному i=0

i=0 Аналопчно, другий (y=2) i настyпнi б^и

з iнтервалiв (xy-i;xy] та ïx порiвняннi з вихiдного бiт-потокy апаратного обчислювача

I визначаються системою нерiвностей

приростами гратчасто1 функци y IbjXJ двох n .

j=0 2Xa.X2 >3m

змшних x, y з урахуванням ïx р1знищ Д y-i,

j=0

i=0

Д., 1 , n

2Xaix3 >5m (21)

отриманоï на попередньому кроц! 1нтервалу i=0

(xy_2;xy-i] в точцi xy-1. ........

З урахуванням (19) систему нерiвностей (21)

На основi викладеного вище ^ отримаемо можна представити у виглядi рiзниць на основi математичну модель апаратного бiт-потокового р;вностей

pibnUv 1 СМ.

обчислювача дробово-ращональних фyнкцiй, що n

n n

мае мюце в пiдкореневомy виразi апроксимyючоï Xa; x, = Xa; x, _ Xa; x,+ Xa; x,(22)

функци (6). ;=0 ;=0 ;=0 ;=0 Неперервна дробово-рацiональна фyнкцiя мае т(2У _1) = т(2У _1) _ m (2(у _1) _1) + m(2(y _1) _1).

вигляд Отже, в резyльтатi отримаемо систему

n нерiвностей що представляе собою математичну

Уai x модель апаратного бiт-потокового обчислювача

y* = m , (16) дробово-рацiональноï фyнкцiï (17) в рiзницяx

де i, ai, m- цш додатш числа. В (16) в чисельни- 2 Ха- x' > m

ку маемо полiном i-го степеня, а полшом в зна- i=0 1

меннику е константою m: bg = m, так як n n

i - , , , 2( У a x\-У ax?) + Д, > 2m

коефiцiенти bl = bl-l = bl-2 =... = bl = 0. ¡=0 i=0 1

Апроксимуюча дробово-рацiональна фyнкцiя, що n n

вiдтворюе неперервну (16) з урахуванням 2(У aix3 -У aix2^ +Д2 > 2m, (23) абсолютно!' похибки обчислення |ô max| = 0,5 набуде вигляду

n 2( У a xyy - У a-x* ,) +

i=0 i=0

I aix'

2( У aixy -У a;x' _i) + A y_ > 2m .

У = [ —-+ 0,5] . (17) „ . . „ .

m В систем! нер1вностей (23) Д y— визначаеться

i=0 i=0

(23) Д y_

Задана абсолютна похибка вщтворення, що

n n

забезпечуе обчислення функци |бmax| = 0,5 i е Dy-1 = aiXy ^aixy-i) + Dy-2 2m . (24)

оптимальною 3 точки зору точн°с^ обчислення. з (23) випливае, що визначення ви&рок xy Опустивши квадратш дужки перейдемо до

може бути зведено до обчислення прироспв нерiвностi J ^ ^

n ■

V, J гратчастга функцiï 21 a-x змiнноï x на

■ n X 1 '=0 1

—-> (y ~ ) . (18) • ■ f n • •• • •

m 2 кожному з iнтервалiв (xy-1,xyJ i ïx порiвняннi з

Виконавши перетворення, отримаемо приростами функцiï m(2y -1) з урахуванням ïx

рiзницi Д y_j, отримaноï на попередньому крощ

iнтeрвaлy (xy-2;xy-1] в точщ Xy—.

В системах управлшня, контролю та iнформaцiйно-вимiрювaльних системах широке застосування знаходять aпaрaтнi бiт-потоковi обчислювaчi дробово-рaцiонaльних фyнкцiй, що вщтворюють нeпeрeрвнi

*i

y* =

X

m

Z-

y* = i=0

(25)

(26)

m

де i, ai, m - цiлi додaтнi числа. В (25) чисельник представляе собою полшом i-го степеня, в якому коефщенти мають значення ai = 1, ai_i = ai_2 =... = ai = 0. Апроксимyючi дробово-рацюнальш фyнкцiï, що вiдтворюють нeпeрeрвнi (25) i (26) з абсолютною

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

похибкою обчислення |ô max| = 0,5 вщповщно мають вигляд

i

V

y = [— + 0,5] , m

Z x1

У = [

1=0 m

- + 0,5]

(26)

(27)

де x - б™вий потш даних. В (27) чисельник

ZX - бгговий потiк, представлений пачками

i=0

одиничних iмпyльсiв (бiтiв).

Опустивши квадратш дужки в (26) перейдемо до

нeрiвностi

x1 1

— > y-т

m 2

(28)

Перетворимо вираз (28) i отримаемо нeрiвнiсть

2xy > m(2y -1) (29)

Скориставшись (29), перейдемо до системи рГз-ницевих нeрiвностeй, яка представляе собою ма-тематичну модель апаратного б^-потокового дробово-рaцiонaльного обчислювача, що ре-aлiзyе фyнкцiю (26):

2x\ > m, 2(x2 - xi) + Aj > 2m, 2(x3 -x2) + A2 > 2m, (30)

2(x'y - x'y-i) + A y-i > 2m.

В систсмГ нeрiвностeй (30) Ду_ визначаеться

Дy _1 = 2(xy _ xy_1) + Дy _2 _ 2m . (31)

З (30) випливае, що визначення вибiрок Xy може бути зведено до обчислення прироспв

rрaтчaстоï функци 2xl змiнноï x на кожному з iнтeрвaлiв (xy-1; xy] i ix порiвняннi з приростами

фyнкцiï m(2y _ 1) з урахуванням ïx рiзницi Дy_j, отримaноï на попередньому кроцi iнтeрвaлy (xy_2; xy_i] в точцi xy-1.

Математична модель апаратного бiт-потокового дробово-рацюнального обчислювача, що рeaлiзyе фyнкцiю (27) може бути описана системою нeрiвностeй (30). 4. Математична модель апаратного 6ït-потокового обчислювача добування кореня При обчисленш iррaцiонaльноï функци (2) на другому еташ обчислень необхщно добувати коршь k-го степеня з цшого числа, отриманого в результат обчислення дробово-рaцiонaльноï функци.

Апaрaтнi бiт-потоковi обчислювaчi добування кореня вiдтворюють неперервну фyнкцiю

*

У =

кГ^

Vx .

(32)

Фyнкцiя, що апроксимуе неперервну фyнкцiю (32) з урахуванням (3) i aбсолютноï похибки обчислення |S ma^ = 0,5 мае вигляд

y = [VX + 0,5] . (33)

Отримаемо математичну модель апаратного б№ потокового обчислювача добування кореня, скориставшись методом формування приростiв висxiдниx функцш

Для функци (33) визначимо зворотну функщю i запишемо нeрiвнiсть

(2y - 1)k < 2kxy < (2y - 1)k +1 (34)

Закон вибiрки Xy при цьому визначаеться необхщною похибкою обчислення функци. Номери Xy вхщного б№потоку х можуть бути визнaчeнi за формулою (34) при шдстановщ y = 1, 2, 3,. При цьому буде забезпечена абсолютна похибка обчислень, що не перевищуе 0,5 одинищ молодшого бггу аргументу. На основГ нeрiвностi (34) отримаемо математичну модель апаратного б^т-потокового обчислювача добування кореня в рГзницях 2kxi > (2yi - 1)к,

2k(x2 - xi) + Ai > (2y2 - l)k - (2yi - l)k 2к(хз - X2) + A2 > (2уз - l)k - (2y2 - l)k (35)

2k(xy - xy_i) + Ay_i > (2yi - l)k - (2yi_i - l)k . В системi нерiвностей (35) Д y-i визначаеться

Дy-1 = 2(Xy - xy-i) + Дy-2 - (2yI - l)k + (2У1-1 - l)k . (36)

3 (35) випливае, що визначення вибiрок Xy може бути зведено до обчислення прироспв гратчастоï

функцiï 2kx змiнноï x на кожному з штерватв (xy_i;xy] i ïx порiвняннi з приростами функцiï

(2y - 1)k з урахуванням ïx рiзницi Д y-i, отриманоï на попередньому крощ iнтервалу (xy_2;xy-i] в точщ xy-1.

3 системи нерiвностей (35) випливае, що першому бпу виxiдного бiт-потоку обчислювача (yi=1) буде вiдповiдати значення xi вxiдного бп-потоку x=1, 2, 3, ..., при якому буде виконано першу нерiвнiсть. Аналогiчно, другому бггу виxiдного бiт-потоку (у2=2) буде вщповщати значення x2 вxiдного бiт-потоку x, при якому буде виконано другу нерiвнiсть. Для наступних бiтiв вихщного бiт-потоку y будуть виконанi аналопчш нерiвностi вiдповiдно. 5. Висновки

В результат проведених дослiджень дано мате-матичне обгрунтування способу обчислення iр-рацiональниx функцiй, що мають в шдкоренево-му виразi дробово-рацiональну функщю. Показано, що обчислення iррацiональниx функцiй, може бути здiйснено в два етапи: на першому еташ здшснюеться обчислення дробово-рацiональноï функци, на другому - добування кореня з числа, отриманого в результат обчислення дробово-рацiональноï функцiï. Наукова новизна полягае в розробщ математич-них моделей апаратних бiт-потоковиx обчислю-вачiв дробово-рацiональниx функцiй i функцш добування кореня, що входять складовими в ма-тематичну модель апаратного обчислювача iр-рацiональниx функцiй.

Зазначено, що дробово-рацюнальш функцiï в за-гальному випадку не мають аналiтичного запису зворотних 1'м функцiй. Тому визначити аналггич-ним шляхом значення вибiрок Xy з вxiдного

бiтового потоку за методом формування прироспв висхщних ступiнчастиx функцiй не е

можливим. Проте, значення Xy можуть бути от-

риманi алгоритмiчним шляхом неперервного па-ралельного обчислення значень двох рацюналь-них функцiй вiдповiдно i формуванням вузлiв апроксимацiï виxiдниx функцш в моменти ïx рiв-ност. При цьому, однiею з них е функщя змiнноï x, що вщповщае чисельнику дробово-рацiональноï функци, а друга - функщя двох змшних x i y, що вщповщае ïï знаменнику, по-множеному на поточне значення y. 3апропонова-ний алгоритм формування апроксимуючих дро-бово-ращональних функцш здшснюе формування вузлiв апроксимацiï в реальному часi в темп надходження бiтового потоку даних на вхщ об-числювача.

Отримано математичну модель апаратного бп-потокового обчислювача функцш добування ко-реня з використанням методу формування при-роспв висхщних ступiнчастиx функцiй, що доз-воляе визначити аналггичним шляхом значення вибiрок Xy з вxiдного бiт-потоку даних.

Запропоноваш математичнi моделi апаратних бп-потокових пристроïв обчислення функцiй забезпечують мшмально можливу похибку обчислення функцш, що забезпечуе 0,5 одинищ молодшого бiту аргументу.

Лiтература: 1. Dhafer Al-Makhles, Nitish Patel, Akshya Swain. Bitstream control system: Stability and experimental application // Intern. Conf. on Appl. Electronics. Czech Republic, Pilsen, 2013. P. 1-6. 2. Бу-ренева О.И., Жирнова О.А. Бит-потоковое устройство извлечения квадратного корня // Известия ЛЭТИ, 2019, №2, С. 26 - 32. 3. Gulin A.I., Safyannikov N.M., Bureneva O.I., Kaydanovich A.Yu. Assurance of Fault-Tolerance in Bit-Stream Computing Converters // Proceeding of 16th IEEE East-West Design & Test Symposium (EWDTS'2018). Kazan, Russia, September 14 - 17, 2018. Р. 418 - 421. 4. Fujisaka H, Kurata R., Sakamoto M. and Morisue M.Bit-stream signal processing and its application to communication system // IEEE Proceedings on Circuits, Devices and Systems. 149 3, 2002. 5. D. Al-Makhles, N. Patel and A. Swain. Con-ventiona and hybrid bit-stream in real-time system // Proceedings of the 11th Workshop on Intelligent Solutions in Embedded Systems (WISES), Pilsen, Czech Republic. 2013. 6. Сафьянников Н.М., Буренева О.И. Следящий потоковый вычислительный преобразователь для интеллектуальных измерительных систем // Международная конференция по мягким вычислениям. 2019. Т.1. С 263-266. 7. Буренева О.И., Жирнова О.А. Многофункциональный бит-потоковый преобразователь // Известия ЛЭТИ, 2019, №10. С. 46 - 53. 8. Ста^в М.Ю. Автореф. дисертаци. Цифровi функцюнальш перетворювачi розгортуючого типу з покращеними характеристиками // Видавництво Нацюнального ушверситету «Львiвська полггехшка». 2013. 21 c. 9.

Ларченко Л.В., Кулак Е.М., Ларченко Б.Д. Функцю-нальне перетворення iмпульсних потоков в апаратних обчислювачах математичних функцш // Радюелек-тронiка та шформатика. 2019. №3. С. 27-34. 10. Ларченко Л.В., Хаханова А.В. Специализированный вычислитель для извлечения корня квадратного из суммы квадратов // Радиоэлектроника и информатика, 2010. №1. С.71-74. 11. Ларченко Л.В. Структурний синтез функцюнально-орieнтованних пристро1в з чис-лоiмпульсним кодуванням. Автореф. дисертацп // Харкiв: ХДТУРЕ. 1998. 18 с. Транслирований список лггератури:

1. Dhafer Al-Makhles, Nitish Patel, Akshya Swain. Bitstream control system: Stability and experimental application // Intern. Conf. on Appl. Electronics. Czech Republic, Pilsen, 2013. P. 1-6.

2. Bureneva O.I., Zhirnova O.A. Bit-potokovoye ustroystvo izvlecheniya kvadratnogo kornya // Izvestiya LETI, 2019, №2, S. 26 - 32.

3. A.I. Gulin, N.M. Safyannikov, O.I. Bureneva, A.Yu. Kaydanovich. Assurance of Fault-Tolerance in Bit-Stream Computing Converters // Proceeding of 16th IEEE East-West Design & Test Symposium (EWDTS'2018). Kazan, Russia, September 14 - 17, 2018. - pp. 418 - 421.

4. H. Fujisaka, R. Kurata, M. Sakamoto and M. Morisue. Bit-stream signal processing and its application to communication system // IEEE Proceedings - Circuits, Devices and Systems, 149 3, 2002.

5. D. Al-Makhles, N. Patel and A. Swain. Conventional and hybrid bit-stream in real-time system // Proceedings ofthe 11th Workshop on Intelligent Solutions in Embedded Systems (WISES), Pilsen, Czech Republic, 2013.

6. Safyannikov N.M., Bureneva O.I. Sledyashchiy potokovyy vychislitel'nyy preobrazovatel' dlya intellektual'nykh izmeritel'nykh sistem // Mezhdunarodnaya konferentsiya po myagkim vychisleniyam. 2019. T.1. S 263-266.

7. Bureneva O.I., Zhirnova O.A. Mnogofunktsional'nyy bit-potokovyy preobrazovatel' // Izvestiya LETI, 2019, №10,. S. 46 - 53.

8. Stakhiv M.YU. Avtoref. dysertatsiyi. Tsyfrovi funktsional'ni peretvoryuvachi roz hortuyuchoho typu z pokrashchenymy kharakterystykamy // Vydavnytstvo Natsional'noho universytetu «L'vivs'ka politekhnika». 2013. 21 S.

9. L.V. Larchenko, E. M. Kulak, B. D. Larchenko. Functional conversion of pulse streams in hardware mathematical functions computer // Radioelectronics and Informatics, 2019. N. 3 S. 27-34

10. Larchenko L.V., Khakhanova A.V. Spetsializirovannyy vychislitel' dlya izvlecheniya kornya kvadratnogo iz summy kvadratov // Radioelektronika i informatika. 2010, №1. S.71-74.

11. Larchenko L.V. Avtoref. dysertatsyy. Strukturnyy syntez funktsyonal'no-oryentyrovannykh ustroystv s chysloympul'snym kodyrovanyem//Vydavnytstvo KhDTURE. 1998. 18 S.

Поступила в редколлегию 18.12.2019 Рецензент: д-р техн. наук, проф. Кривуля Г.Ф.

Шкшь Олександр Сергшович, канд. техн. наук, доцент кафедри АПОТ ХНУРЕ. HayKOBi iнтереси: дiaгностикa цифрових систем, дистанцшна освгга. Адреса: Украша, 61166, Харшв, пр. Науки, 14, тел. +380(57) 702-13-26.

Ларченко Богдан Дмитрович: астрант кафедри АПОТ ХНУРЕ. Hayковi штереси: автоматизоване проектування цифрових систем, язики опису апарату-ри, FPGA. Адреса: Украша, 61166, Хaркiв, пр. Науки, 14, тел. +380(57) 702-13-26.

Ларченко Лша BiKTopiBHa: канд. техн. наук, доцент кафедри АПОТ ХНУРЕ. Hayковi штереси: автоматизоване проектування спецiaлiзовaних цифрових систем, язики опису апаратури. Адреса: Украша, 61166, Харшв, пр. Науки, 14, тел. +380(57) 702-13-26. Shkil Alexander Sergeevich. PhD, Associate Professor, Associate Professor of Design Automation Department, Kharkiv National University of Radioelectronics. Scientific education: diagnostics of digital systems, distance education. Address: Ukraine, 61166, Kharkov, Nauka Avenue, 14, tel. +380 (57) 702-13-26. Larchenko Bogdan Dmitrovich, PhD student, Design Automation Department, Kharkiv National University of Radioelectronics. Scientific interests: automated design of digital machines, HDL, FPGA. Address: Ukraine, 61166, Kharkiv, Nauka Avenue, 14, tel. 702-13-26. Larchenko Lina Viktorivna, PhD, Associate Professor, Design Automation Department, Kharkiv National University of Radioelectronics. Scientific interests: automated design of digital machines, HDL. Address: Ukraine, 61166, Kharkiv, Nauka Avenue, 14, tel. 702-1326.

i Надоели баннеры? Вы всегда можете отключить рекламу.