Научная статья на тему 'УЧЕБНЫЙ ПРАКТИКУМ ПО ПРОЕКТИРОВАНИЮ ИНТЕГРАЛЬНЫХ СХЕМ'

УЧЕБНЫЙ ПРАКТИКУМ ПО ПРОЕКТИРОВАНИЮ ИНТЕГРАЛЬНЫХ СХЕМ Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
132
40
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
СИСТЕМЫ АВТОМАТИЗИРОВАННОГО ПРОЕКТИРОВАНИЯ СБИС / УЧЕБНЫЕ ПРАКТИКУМЫ ПО ПРОЕКТИРОВАНИЮ СБИС И СИСТЕМ НА КРИСТАЛЛЕ / ИНСТРУМЕНТЫ МОДЕЛИРОВАНИЯ И ВЕРИФИКАЦИИ ИС / VLSICS ELECTRONIC DESIGN AUTOMATION TRAINING WORKSHOPS FOR DESIGNING / ICS AND FPGA SYSTEMS / MODELING TOOLS AND VERIFICATION OF ICS / PROCESS AND DEVICE SIMULATION TOOLS

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Певцов Евгений Филиппович, Сигов Александр Сергеевич, Шнякин Александр Андреевич

Обобщен опыт создания общих и специализированных практикумов для подготовки и переподготовки специалистов, владеющих современными инструментами проектирования и моделирования работы СБИС, систем на кристалле и МЭМС. Основой для практикумов служат комплексные профессиональные пакеты программных продуктов для проектирования устройств и приборов микроэлектроники, предоставленных Университету в рамках учебных и академических программ известными мировыми лидерами в области разработки САПР: компаниями Cadence Design Systems, Synopsys, Coventor, Mentor Graphics, Xilinx и др.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Певцов Евгений Филиппович, Сигов Александр Сергеевич, Шнякин Александр Андреевич

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

TRAINING WORKSHOPS FOR INTEGRATED CIRCUITS DESIGN

The experience of creation of the general purpose and specialized workshops for training and retraining of specialists mastering modern EDA and device simulation tools for VLSICs and system-on-chip has been generalized. The basis for these workshops is the complex professional packages for design of microelectronic devices by the known world EDA leaders: companies Cadence Design Systems, Synopsys, Coventor, Mentor Graphics, Xilinx and others, which have been provided for the University within the framework of educational and academic programs.

Текст научной работы на тему «УЧЕБНЫЙ ПРАКТИКУМ ПО ПРОЕКТИРОВАНИЮ ИНТЕГРАЛЬНЫХ СХЕМ»

Мероприятием, направленным на комплексное решение проблем проектирования отечественных СБИС и новых приборов микроэлектроники в рамках реализации планов Федеральной целевой программы «Развитие электронной компонентной базы и радиоэлектроники на 2008-2015 гг.», является создание в Московском государственном техническом университете радиотехники, электроники и автоматики (МГТУ МИРЭА) учебно-научного подразделения - «Центра проектирования интегральных схем, устройств наноэлектроники и микросистем» [1, 2]. В рамках соглашений о поддержке Университетов это структурное подразделение оснащено уникальным комплектом программного обеспечения для проектирования СБИС, МЭМС и систем на основе ПЛИС и продолжает активно сотрудничать с ведущими мировыми разработчиками САПР для электроники. Главная задача Центра - обучение и переподготовка специалистов, владеющих современными инструментами проектирования и приборно-технологического моделирования СБИС, систем на кристалле, систем в корпусе и МЭМС.

Постановка задачи: овладение профессиональными инструментами САПР. Примеры типовых учебных программ университетов, реализующих комплексную подготовку специалистов по направлениям, связанным с проектированием микроэлектронных приборов и устройств, приведены в таблице.

Инструменты проектирования СБИС в образовательных программах университетов

Содержание програм- Инструменты мо-

Университет Учебные программы

мы делирования

University of Virginia (School of Engineering and Applied Science) (ece.virginia.edu) ECE 3363 - Digital Integrated Circuit; ECE 4460/6460 - VLSI Design; ECE 6502 - ASIC/SOC Design; ECE 7736-AdvancedVLSI Методология проектирования цифровых и циф-роаналоговых СБИС, разработка СФ блоков СБИС Cadence Virtuoso Spectre Circuit Simulator; Incisive Enterprise Simulator

University at Buffalo (Department of Computer Science and Engineering) (cse.buffalo.edu) CSE 493/593 Introduction to VLSI Electronics Введение в проектирование СБИС, обзор маршрута и средств проектирования Cadence Virtuoso Spectre Circuit Simulator; Incisive Simulator

University of Texas (Computer Engineering Research Center) (cerc.utexas.edu) EE382M - VLSI1; EE360R - Computer-Aided Integrated Circuit Design Методология проектирования цифровых и циф-роаналоговых СБИС Verilog-XL Cadence Virtuoso Spectre Circuit Simulator

National Research University of Electronic Technology / Национальный исследовательский университет «МИЭТ» (www.miet.ru) 210100.62, 210100.65 - Electronics and Nanoelectronics 230104.65 - CAD Методология проектирования систем на кристалле. Схемотехническое и топологическое проектирование, приборно-технологическое моделирование Cadence Virtuoso, Encounter, Incisive, Synopsys, Sentaurus, Mentor Graphics

The University of Texas at Dallas (www.utdallas.edu) EE4325 INTRO TO VLSI EE6325 VLSI Design EE7325 ADVANCED VLSI DESIGN Методология проектирования цифроаналоговых СБИС HSPICE

Chitkara University, India (Electronics and Communications Engineering) (chitkara.edu.in) Microelectronic Systems Методология проектирования цифровых и циф-роаналоговых СБИС, разработка СФ блоков СБИС HSPICE VirSim VCS

Tokyo University of Science (Department of Electrical Engineering) (u-tokyo.ac.jp) Electrical, Electronics and Information Engineering Методология проектирования цифровых и циф-роаналоговых СБИС, разработка СФ блоков СБИС HSPICE VCS Cadence Virtuoso Spectre Circuit Simulator

Все программы подготовки основываются на обязательном выполнении цикла лабораторных работ на основе профессиональных САПР СБИС и направлены на то, чтобы обучающиеся получили навыки активного применения инструментов, позволяющих на основе стандартных моделей элементов оценить важные характеристики проектируемой интегральной схемы в целом. Роль операций компьютерного моделирования в современных маршрутах проектирования интегральных схем и микроэлектромеханических систем весьма значительна. Эффективное применение инструментов верификации позволяет избежать затрат на физическое макетирование, изготовление пробных партий и их тестирование и значительно сокращает время и стоимость реализации проектов.

Актуальной задачей является разработка и внедрение учебно-методических материалов по освоению инструментов компьютерного моделирования в комплексе дисциплин по направлениям подготовки микро- и наноэлектроники и информационных технологий. Учебные программы ведущих университетов ориентируют студентов на освоение инструментов профессиональных САПР СБИС, основными производителями которых на рынке микроэлектроники являются компании Synopsys, Cadence Design Systems, Xilinx и Altera. Соответствующий комплекс дисциплин должен быть ориентирован на практическое освоение всех проектных процедур типового маршрута проектирования СБИС (рис.1).

Рис.1. Типовой маршрут проектирования ИС на основе инструментов автоматизированного проектирования Cadence Design Systems

Завершающим этапом и критерием успешного обучения служат fables-проекты полузаказных интегральных схем, реализующих законченные технические решения и изготовленные на одной из кремниевых фабрик в рамках соглашения EC о реализации академических и учебных разработок.

Инструменты моделирования в маршрутах проектирования СБИС. Для проверки вариантов схемных решений и оптимизации таких характеристик, как времена передачи, скорость и точность обработки сигналов, мощности, рассеиваемой на кристалле и других, необходимо осуществлять многократную итеративную модификацию параметров базовых элементов схем. Моделирование должно проводиться также после проектирования топологии и учитывать емкости межсоединений, предсказывать влияние паразитных транзисторов и паразитных емкостей.

Владение современными инструментами моделирования при проектировании СБИС опирается на основательные знания и навыки в специфической области программирования - кодировании функционирования цифровых и аналоговых устройств и тестовых программных стендов на языках поведенческого описания (Hardware Description Language, HDL), среди которых наиболее распространенными являются языки Verilog, VHDL и SystemC.

Базовый практикум: проектирование и моделирование цифровых схем. Современный маршрут разработки интегральных микросхем невозможен без процедур проверки их функциональных возможностей на базе макетирования в ПЛИС. Микросхемы такого типа представляют собой матрицу программируемых логических элементов, между которыми проложены электрически коммутируемые соединения. Это позволяет конфигурировать отдельные компоненты и создавать связи между ними путем загрузки в ПЛИС потока данных, скомпилированного из описания на языке HDL и включающего требуемые цепи и коммутирующие узлы.

После проверки конструкторских решений в ПЛИС те же файлы с HDL-кодом, описывающим поведение устройства, используются для получения проектируемой интегральной микросхемы. Это позволяет эффективно применять ПЛИС на этапе макетирования, переходя впоследствии к изготовлению микросхемы с минимальными изменениями конструкторской документации. Поскольку проектирование современных устройств цифровой обработки сигналов также в значительной степени опирается на системы с ПЛИС, практикум по основам проектирования систем на ПЛИС следует признать базовым при подготовке специалистов по ряду направлений факультетов электроники и информационных технологий.

Разработанный в МГТУ МИРЭА базовый курс по проектированию систем на ПЛИС [3] включает более 50 ч практикума, построенного на основе профессиональной среды проектирования (Integrated Design Environment, IDE v.13.2) и учебно-методических материалов компании Xilinx. В каждой из лабораторных работ практикума требуется разработать код устройства и его испытательного стенда, выполнить моделирование работы спроектированного в ПЛИС устройства, используя инструменты симуляции его работы. Для проверки работы устройства на аппаратуре используется отладочный набор с ПЛИС Xilinx Spartan-6 и Spartan-3E (платы ATLIS и Starter kit) [4]. Практикум включает следующие лабораторные работы: «Основы проектирования цифровых устройств инструментами САПР ISE», «Иерархические структуры в языке Verilog», «Двоичный инкрементно-декрементный счетчик с асинхронным сбросом и параллельной записью», «Делитель частоты».

Контрольным заданием по лабораторному практикуму является проект вычислительного устройства с типовой архитектурой, структурная схема которого приведена на рис.2 [5].

Студент должен разработать коды отдельных узлов простейшего вычислителя, в состав которого входят: память, содержащая исходные данные и коды операций; арифметико-логическое устройство; конечный автомат для организации работы вычислите-

Рис.2. Структурная схема вычислителя, проектируемого в качестве контрольного задания лабораторного практикума по ПЛИС

ля; компаратор для вывода результатов работы устройства. Для закрепления навыков проектирования систем на языке поведенческого описания Verilog в базовом курсе по САПР для ряда специальностей факультетов электроники и информационных технологий предусмотрено выполнение курсовых работ, например: «Арифметико-логическое устройство с заданной системой команд»; «Устройство, формирующее код Грея»; «Устройство широтно-импульсной модуляции с индикацией на линейке свето-диодов».

Дополнением общеобразовательного курса служат лабораторные работы по моделированию основных аналоговых и цифровых схем, использующие профессиональные пакеты проектирования Altium Designer (производитель - Altium), PCB Studio (Cadence) и PCB Expedition (Mentor Graphics). В результате обучающиеся имеют возможность познакомиться с основами SPICE- и IBIS-описаний моделей устройств и приборов микроэлектроники и с их применением в программах моделирования.

Специальный практикум: проектирование и моделирование интегральных схем. Современная идеология проектирования СБИС может быть условно разделена на два основных этапа: логическое поведенческое (back-end) проектирование без привязки к физической реализации и физическое топологическое (front-end) проектирование с размещением на кристалле и привязкой к технологическим процессам изготовления. Логическое проектирование заключается в разработке поведенческой (behavioral) модели на языке HDL и последующем автоматическом преобразовании программного кода в модель устройства, описанную с помощью абстракции регистровых передач сигналов (Register Transfer Level, RTL-уровень).

На RTL-уровне поведение схемы определяется в терминах потоков сигналов (или пересылок данных) между аппаратными регистрами и логических операций над данными сигналами. RTL-описание транслируется специальными программами в проектную документацию для инструментов автоматизированного синтеза. Синтезируемое описание представляет собой файл, в котором схема устройства представлена в виде оптимальных соединений между вентилями, составляющими минимально необходимый полный набор логических элементов. Формат этого файла, называемого EDIF-файлом (от Electronic Distribution International Format), унифицирован, и его содержание воспринимается как исходные данные для всех систем автоматизированного проектирования устройств и систем на основе ПЛИС или СБИС.

В соответствии с базовыми технологиями изготовления СБИС на кристалле или реализации в ПЛИС, EDIF-файл преобразуется в эквивалентное описание схемы в виде

Рис.3. Фрагмент топологии ИС схемы считывания сигналов матричного пироэлектрического приемника, выполненный инструментами пакета Encounter

таблицы соединений библиотечных элементов (net-лист). На следующих этапах соответствующими инструментами САПР выполняется физическое проектирование: размещение элементов на площади кристалла, трассировка межсоединений, разработка масок для изготовления на фабрике и другие операции маршрута проектирования СБИС.

Учебное пособие по специальному практикуму проектирования интегральных схем содержит четыре основных раздела, посвященных обучению работы со следующими программами пакета САПР для проектирования ИС: NC-Sim, RTL Compiler, Encounter Digital Implementation и Virtuoso (производитель - Cadence Design Systems [6]).

Типовым примером, иллюстрирующим уровень подготовки, служит проект схемы считывания сигналов матричного пироэлектрического приемника излучения, разработанной инструментами пакета Encounter. Фрагмент топологии матричного приемника, спроектированного в базисе КМОП 0,18 мкм библиотеки стандартных элементов, приведен на рис.3. Данный проект представляет собой законченную квалификационную работу, выполненную в рамках государственного задания Министерства образования и науки Российской Федерации.

Результаты практически всех проектных процедур маршрута подлежат верификации на основе моделирования (например, проверкам выполнения технологических и проектных норм, требуемых функций, целостности сигналов). Для процедур верификации также используются HDL-модели устройства и характеристик его библиотечных и паразитных элементов. В рамках заданий практикума по проектированию СБИС предлагается выполнить комплекс лабораторных работ, посвященных детальному изучению инструментов моделирования ИС. Примером может служить использование инструментов пакета Virtuoso для параметрического анализа работы схемы операционного усилителя, спроектированного в базисе КМОП 0,18 мкм библиотеки стандартных элементов (рис.4).

В МГТУ МИРЭА разработаны и апробированы в учебных курсах специализированные практикумы и методические

Рис.4. Схема ячейки считывания сигнала от пироэлектрического конденсаторного детектора излучения (а) и результаты моделирования передаточной характеристики (б)

материалы, посвященные специальным аспектам моделирования интегральных схем и микросистем и позволяющие на профессиональном уровне освоить современные инструменты САПР. Таким образом, в соответствии с ФЦП «Развитие отечественной электронной компонентной базы» в структурном подразделении Университета создана основа для подготовки квалифицированных кадров, способных обеспечивать выполнение разработок импортозамещающих изделий твердотельной микроэлектроники и электронных приборов и устройств широкого назначения.

Литература

1. Федеральная целевая программа «Развитие электронной компонентной базы и радиоэлектроники» на 2008-2015 годы [утверждена Постановлением Правительства Российской Федерации от 26 ноября 2007 г. № 809]. - URL: http://www.minpromtorg.gov.ru (дата обращения: 12.05.2013).

2. Центр проектирования интегральных схем, устройств наноэлектроники и микросистем [website] / MIREA [M. 2013]. - URL: http://www.edamc.mirea.ru (дата обращения: 15.05.2013).

3. Тарасов И.Е., Певцов Е. Ф. Программируемые логические схемы и их применение в схемотехнических решениях: учеб. пособие. - М.: МГТУ МИРЭА, 2012. - 182 с.

4. Atlys™ Spartan-6 FPGA Development Board [электронный ресурс] / Digilent Inc. -URL: http://www.digilentinc.com/Products/Detail.cfm?NavPath= 2,400,836&Prod=ATLYS (дата обращения: 12.09.2013 г.).

5. Xilinx University Program Development Kits [электронный ресурс] /Xilinx Inc. -URL: http://www.xilinx.com/publications/prod_mktg/xup-atlys-spartan6-development-kit.pdf (дата обращения: 12.09.2013 г.).

6. University Software Programs [website] / Cadence Design Systems. - URL: http://www.cadence.com/ us/ pages / default.aspx (дата обращения: 15.05.2013).

Статья поступила 8 октября 2013 г.

Певцов Евгений Филиппович - кандидат технических наук, доцент, директор Центра проектирования интегральных схем, устройств наноэлектроники и микросистем МГТУ МИРЭА. Область научных интересов: электрофизика структур на основе тонких сег-нетоэлектрических пленок, пироэлектричество, тепловые приемники излучения и теп-ловизионные системы, информационно-измерительные системы, системы автоматизированного проектирования интегральных схем. E-mail: pevtsov@mirea.ru

Сигов Александр Сергеевич - академик РАН, доктор физико-математических наук, профессор, президент МГТУ МИРЭА. Область научных интересов: исследование физических свойств систем с пониженной размерностью и/или структурным беспорядком и создание на их основе функциональных устройств нано- и микроэлектроники.

Шнякин Александр Андреевич - аспирант кафедры физики конденсированного состояния, инженер Центра проектирования интегральных схем, устройств наноэлек-троники и микросистем МГТУ МИРЭА. Область научных интересов: проектирование аналоговых и цифровых интегральных схем в программной среде САПР Cadence.

КРАТКИЕ СООБЩЕНИЯ

УДК 621.37.39

Эквивалентная схема МОП-транзистора с электрически соединенными затвором и карманом

А.В. Русанов1, А.Ю. Ткачев2, Ю. С. Балашов1

1 Воронежский государственный технический университет

2ОАО «Научно-исследовательский институт электронной техники» (г. Воронеж)

Для решения ряда технических задач инженеры-разработчики ИС используют нестандартные электрические схемы включения МОП-транзисторов. Известно несколько таких вариантов включения: диодный режим работы, биполярный, емкостной, режим работы полевого транзистора с управляющим ^-«-переходом. Предлагается еще одно схемотехническое включение МОП-транзистора - с электрически объединенными карманом и затвором, что позволяет снизить пороговое напряжение и увеличить крутизну переходной характеристики прибора.

Отметим, что ряд известных математических моделей МОП-транзистора (mos903 [1], bsim3v3 [2]) не учитывает физических эффектов, возникающих в транзисторной структуре при электрическом соединении затвора и кармана. Следовательно, для проведения математического моделирования эти модели непригодны. Возникает необходимость разработки достоверной математической модели. Решая эту задачу, определяют эквивалентную электрическую схему (физическую модель), отражающую физические процессы, протекающие в структуре МОП-транзистора с электрически соединенными затвором и карманом.

Исследования работы МОП-транзистора с электрически объединенными карманом и затвором проводились на примере «-канального прибора, изготовленного в рамках КМОП-технологического процесса. В качестве материала кармана МОП -транзистора применялся кремний р-типа, толщина подзатворного оксида составляла 3,5 нм, длина канала 0,5 мкм.

Результаты исследований переходной характеристики транзисторной структуры в прибор-но-технологическом САПР ISE TCad, представленные в [3], показали, что ток стока МОП-транзистора с электрически соединенными затвором и карманом состоит из двух пространственно разделенных компонент: тока в канале и потока электронов в глубине кармана, инжектированных «-истоком в р-карман и собираемых «-стоком.

Графики зависимостей величин различных компонент тока стока от напряжения, приложенного на затворе, полученные в САПР ISE TCad, представлены на рис.1. Как видно из рисунка, зависимость компонент тока стока МОП-транзистора от напряжения на затворе носит нелинейный характер. Изменение тока, протекающего в канальной области, подчиняется квадратичному закону г'2 ~ Ug 2 , где Ug - напряжение на затворе транзистора. Такая зависимость характерна для полевого транзистора с индуцированным каналом (см. рис.1, кривая 2). Ток, протекающий в глубине кармана, изменяется по экспоненциальному закону i1 «eUg, что характерно для биполярного транзистора (см. рис.1, кривая 1). Алгебраическая сумма токов i\ и i2 (см. рис.1, кривая 4) полностью совпадает с графиком тока стока МОП-транзистора с электрически соединенными затвором и карманом (кривая 3). Сумма токов ij и i2 дает приблизительную аналитическую зависимость тока стока МОП-транзистора с электрически объединен-

© А.В. Русанов, А.Ю. Ткачев, Ю.С. Балашов, 2014

i Надоели баннеры? Вы всегда можете отключить рекламу.