Научная статья на тему 'Plasma parameters, densities of active species and etching kinetics in C4F8+Ar gas mixture'

Plasma parameters, densities of active species and etching kinetics in C4F8+Ar gas mixture Текст научной статьи по специальности «Физика»

CC BY
66
9
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
C4F8 / СКОРОСТЬ РЕАКЦИИ / ЭНЕРГИЯ ИОНОВ / КОНЦЕНТРАЦИЯ / ПОТОК / ТРАВЛЕНИЕ / ПОЛИМЕРИЗАЦИЯ / REACTION RATE / ION ENERGY / DENSITY / FLUX / ETCHING / POLYMERIZATION

Аннотация научной статьи по физике, автор научной работы — Efremov Alexander M., Murin Dmitry B., Kwon Kwang H.

In this work, we performed the combined (experimental and model-based) study of gas-phase plasma characteristics and etching kinetics for both Si and SiO2 in the C4F8 + Ar gas mixture. The experiments were carried out at constant total gas pressure (p = 6 mTorr), input power (W = 900 W) and bias power (Wdc = 200 W) while the C4F8/Ar mixing ratio was varied in the range of 0-75% Ar. The data on internal plasma parameters, plasma chemistry as well as the steady-state plasma composition were obtained by both Langmuir probe diagnostics and 0-dimensional plasma modeling. The etching mechanisms were investigated through the analysis of relationships between the measured etching rates and the model-predicted fluxes of active species (F atoms, polymerizing CFx radicals and positive ions). It was found that, under the given set of experimental conditions, the Si and SiO2 etching process 1) appears in the steady-state etching regime; 2) exhibits the features of the ion-assisted chemical reactions in the neutral-flux-limited mode; and 3) is influenced by the fluorocarbon polymer film thickness. It was shown that the influence of input process parameters on the effective probability of chemical reaction between Si, SiO2 and fluorine atoms may be adequately characterized by the fluorocarbon radicals/fluorine atoms and fluorocarbon radicals/ion energy flux ratios.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

ПАРАМЕТРЫ ПЛАЗМЫ, КОНЦЕНТРАЦИИ АКТИВНЫХ ЧАСТИЦ И КИНЕТИКА ТРАВЛЕНИЯ В СМЕСИ C4F8+Ar

В данной работе представлены результаты комбинированных (экспериментальных и модельных) исследований характеристик газовой фазы и кинетики травления Si и SiO2 в плазме смеси C4F8 + Ar. Эксперименты проводились при постоянном общем давлении смеси (p = 6 мтор), вкладываемой мощности (W = 900 Вт) и мощности смещения (Wdc = 200 Вт), при этом соотношение компонентов C4F8/Ar варьировалось в диапазоне 0-75% Ar. Данные по внутренним параметрам плазмы, кинетике плазмохимических процессов и стационарным концентрациям частиц в газовой фазе получали при совместном использовании диагностики плазмы зондами Лангмюра и 0-мерного моделирования плазмы. Механизмы травления идентифицировали через анализ корреляций между измеренными скоростями травления и расчетными значениями плотностей потоков активных частиц (атомов F, полимеробразующих радикалов CFx и положительных ионов). Было найдено, что в исследованном диапазоне условий процессы травления Si и SiO2 в плазме смеси C4F8 + Ar 1) протекают в стационарной области; 2) имеют характерные черты ионно-стимулированной химической реакции в режиме травления, лимитируемом потоком нейтральных частиц; 3) не свободны от влияния толщины фторуглеродной полимерной пленки. Было показано, что влияние условий проведения процесса травления на величину эффективной вероятности взаимодействия атомов фтора с Si и SiO2 адекватно характеризуется отношениями плотность потока полимеробразующих радикалов / плотность потока атомов фтора и плотность потока полимеробразующих радикалов / плотность потока энергии ионов.

Текст научной работы на тему «Plasma parameters, densities of active species and etching kinetics in C4F8+Ar gas mixture»

DOI: 10.6060/ivkkt.20196202.5791

УДК: 537.525

ПАРАМЕТРЫ ПЛАЗМЫ, КОНЦЕНТРАЦИИ АКТИВНЫХ ЧАСТИЦ И КИНЕТИКА ТРАВЛЕНИЯ В СМЕСИ C4F8+Ar

А.М. Ефремов, Д.Б. Мурин, К.Х. Квон

Александр Михайлович Ефремов*, Дмитрий Борисович Мурин

Кафедра технологии приборов и материалов электронной техники, Ивановский государственный химико-технологический университет, Шереметевский пр., 7, Иваново, Российская Федерация, 153000

E-mail: efremov@isuct.ru* Кванг Хо Квон

Лаборатория применения плазмы, Департамент разработки средств и методов контроля, Университет Корея, 208 Сеочанг-Донг, Чочивон, Республика Корея, 339-800

В данной работе представлены результаты комбинированных (экспериментальных и модельных) исследований характеристик газовой фазы и кинетики травления Si и SÍO2 в плазме смеси C4F8 + Ar. Эксперименты проводились при постоянном общем давлении смеси (p = 6 мтор), вкладываемой мощности (W = 900 Вт) и мощности смещения (Wdc = 200 Вт), при этом соотношение компонентов C4F8/Ar варьировалось в диапазоне 0-75% Ar. Данные по внутренним параметрам плазмы, кинетике плазмохимических процессов и стационарным концентрациям частиц в газовой фазе получали при совместном использовании диагностики плазмы зондами Лангмюра и 0-мерного моделирования плазмы. Механизмы травления идентифицировали через анализ корреляций между измеренными скоростями травления и расчетными значениями плотностей потоков активных частиц (атомов F, полимеробразую-щихрадикалов CFx и положительных ионов). Было найдено, что в исследованном диапазоне условий процессы травления Si и SÍO2 в плазме смеси C4F8 + Ar 1) протекают в стационарной области; 2) имеют характерные черты ионно-стимулированной химической реакции в режиме травления, лимитируемом потоком нейтральных частиц; 3) не свободны от влияния толщины фторуглеродной полимерной пленки. Было показано, что влияние условий проведения процесса травления на величину эффективной вероятности взаимодействия атомов фтора с Si и SÍO2 адекватно характеризуется отношениями плотность потока полимероб-разующихрадикалов/плотность потока атомов фтора и плотность потока полимеробра-зующихрадикалов/плотность потока энергии ионов.

Ключевые слова: C4F8, скорость реакции, энергия ионов, концентрация, поток, травление, полимеризация

PLASMA PARAMETERS, DENSITIES OF ACTIVE SPECIES AND ETCHING KINETICS IN C4F8+Ar GAS MIXTURE

A.M. Efremov, D.B. Murin, K.-H. Kwon

Alexander M. Efremov*, Dmitry B. Murin

Department of Electronic Devices and Materials Technology, Ivanovo State University of Chemistry and Technology, Sheremetevskiy ave., 7, Ivanovo, 153000, Russia. E-mail: efremov@isuct.ru*

Kwang H. Kwon

Plasma Application Lab., Dept. of Instrumentation and Control Engineering, Korea University, 208 Seochang-Dong, Chochiwon, Korea, 339-800

In this work, we performed the combined (experimental and model-based) study of gasphase plasma characteristics and etching kinetics for both Si and SiO2 in the C4F8 + Ar gas mixture. The experiments were carried out at constant total gas pressure (p = 6 mTorr), input power (W = 900 W) and bias power (Wdc = 200 W) while the C4F8/Ar mixing ratio was varied in the range of 0-75% Ar. The data on internal plasma parameters, plasma chemistry as well as the steady-state plasma composition were obtained by both Langmuir probe diagnostics and 0-dimensionalplasma modeling. The etching mechanisms were investigated through the analysis of relationships between the measured etching rates and the model-predicted fluxes of active species (F atoms, polymerizing CFx radicals and positive ions). It was found that, under the given set of experimental conditions, the Si and SiO2 etching process 1) appears in the steady-state etching regime; 2) exhibits the features of the ion-assisted chemical reactions in the neutral-flux-limited mode; and 3) is influenced by the fluorocarbon polymer film thickness. It was shown that the influence of input process parameters on the effective probability of chemical reaction between Si, SiO2 and fluorine atoms may be adequately characterized by the fluorocarbon radicals/fluorine atoms and fluorocarbon radicals/ion energy flux ratios.

Key words: C4F8, reaction rate, ion energy, density, flux, etching, polymerization Для цитирования:

Ефремов А.М., Мурин Д.Б., Квон К.Х. Параметры плазмы, концентрации активных частиц и кинетика травления в смеси C4F8+Ar. Изв. вузов. Химия и хим. технология. 2019. Т. 62. Вып. 2. С. 31-37 For citation:

Efremov A.M., Murin D.B., Kwon K.-H. Plasma parameters, densities of active species and etching kinetics in C4F8+A gas mixture. Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol. 2019. V. 62. N 2. P. 31-37

INTRODUCTION

Silicon and silicon-based compounds (SiO2, Si3N4 and SiC) are the basement of modern electronic device technology being used as the wafer materials, hard masks, semiconductor and dielectric layers [1-3]. Since the most of applications require the precision patterning of the material surface, the development of the dry etching process for both Si and SiO2 is an important task to be solved for advanced micro- and nano-device characteristics.

Fluorocarbon gases with a general formula of CxHyFz are widely used in the microelectronic industry for dry patterning of silicon wafers and dielectric (SiO2, Si3N4) thin films [4, 5]. Among these, the CF4 is characterized by the highest F/C ratio and provides the domination of etching over the surface polymerization process under the typical reactive ion etching conditions [5]. The more polymerizing fluorocarbons (C4F6, C4F8, CHF3 and CH2F2) are normally used for the etching processes which require as much as possible SiO2/Si etching selectivity. The nearest example is the etching of contact holes through the SiO2 insulating films to poly- or mono-crystalline silicon under layers where one should obtain the submicron dimensions together with the high aspect ratio. Until now, there were many works dealt with the etching processes for Si and SiO2 in the fluorocarbon-based plasmas, including the

C4F8 + Ar gas mixture [3-8]. However, the most of studies have the pure experimental nature and discuss the etching kinetic and mechanisms only through the relationships between input process conditions, measured etching rates and thickness of the fluorocarbon polymer film. As a result, the existing data on the heterogeneous stages of the etching process are not matched with the changes in the gas-phase plasma characteristics. Obviously, such situation does not provide the understanding of the whole process pathway and thus, limits the possibilities for the optimization of etching process.

The main feature of current work is the combined experimental and theoretical (i.e with the use of plasma modeling) study of C4F8 + Ar gas system which provides the ability for the effective matching of gasphase and heterogeneous chemistries. Accordingly, the main goals of current study were: 1) to figure out how the change in C4F8/Ar mixing ratio influences the gasphase plasma characteristics, such as electron temperature, energy of ion bombardment, densities and fluxes of plasma active species; 2) to determine the relationships between the gas-phase plasma characteristics and the etching kinetics for both Si and SiO2; 3) to establish the gas-phase-related parameters which adequately characterize the etching/polymerization balance in the fluorocarbon gas system with a high polymerizing ability.

A.M. E^peMOB, ^.B. MypuH, K.X. KBOH

EXPERIMENTAL AND MODELING DETAILS

Plasma etching and diagnostics experiments were performed in a planar inductively coupled plasma (ICP) reactor [9]. All experimental series were performed at a fixed total gas flow rate (q = 60 sccm), gas pressure (p = 6 mTorr), input power (W = 900 W) and bias power (Wdc = 200 W). The initial compositions of C4F8 + Ar gas mixture were set by adjusting the flow rates of the corresponding gases. Accordingly, the fraction of Ar in a feed gas yAr = qAr/q was varied in the range of 0-75%.

Plasma parameters were measured by double Langmuir probe tool DLP2000 (Plasmart Inc). The treatment of I-V curves aimed at obtaining electron temperature (Te) and ion saturated current density (j+) was carried out using the software supplied by the equipment manufacturer. The calculations were based on the Johnson & Malter's double probes theory [10] with the one-Maxwellian approximation for the electron energy distribution function (EEDF). The total positive ion density (n+) was extracted from the measured j+ using the Allen-Boyd-Reynolds (ABR) approximation [11].

The etched samples of Si and SiO2 had the dimensions of about 2^2 cm2. The samples were placed in the middle part of the bottom electrode which was equipped with the built-in water-flow cooling system. The last was used to maintain the constant sample temperatures at ~ 17 °C. The etching rates (R) for both materials were determined from the corresponding etched depths Ah measured by the surface profiler Alpha-step 500 (Tencor) for the processing time x = 60 s. In preliminary experiments, it was found that the condition x < 300 s surely provided the quasi-linear shape for the Ah = f(x) function and thus, the steady-state etching regime. As such, one can simply assume R = Ah/x.

In order to obtain the densities of neutral species, we developed a simplified zero-dimensional kinetic model with using the data of Te and n+ as input parameters [12, 13]. The set of chemical reactions was taken from previous works [14-17]. These works also provide the detailed discussion on both kinetic schemes and sources of chemical kinetics data. Similarly to Refs. [13-15], the model used following assumptions: 1) the electron energy distribution function (EEDF) is close to Maxwellian one; 2) the electronegativity of the low-pressure C4F8 + Ar plasma is low enough to assume n_ << n+ « ne; 3) the heterogeneous chemistry of atoms and radicals can be described in

terms of the conventional first-order recombination kinetics; and 4) The temperature of the neutral ground-state species (Tgas) is independent on the feed gas composition. Since the experimental data on gas temperature were not available in this study, we took Tgas = 600 K as the typical value for the ICP etching reactors with similar geometry under the close range of experimental conditions [15-17].

For the analysis of heterogeneous chemistry, the fluxes for each king of neutral species with the volume density n were calculates as r « 0.25nuT, where ut is the thermal velocity corresponding to the given Tgas value. Finally, the total flux of positive ions was simply evaluated as r+ = j+/e. The ion bombardment energy was found as Si = -e(Udc + Uf), where Udc is the negative dc bias on the bottom electrode provided by Wdc, and Uf « 0.5Teln(me/2.3m+) is the floating potential. The effective ion mass m+ was determined from the factional composition of neutral components with accounting for the corresponding ionization rate coefficients.

RESULTS AND DISCUSSION

The general regularities and mechanisms which determine the gas-phase characteristics in the C4Fs-based plasmas, including the C4F§+Ar gas system, were the subjects of detailed discussion in our previous works [15, 18]. That is why, we will just briefly overview the corresponding data for an actual set of input parameters as well as focus the attention on the issues which have the principal importance for the purpose of this study.

From Fig. 1(a), it can be seen that an increase in Ar fraction in a feed gas suppresses Te (4.7-3.3 eV for 0-75% Ar), but results in increasing both j+ (1.12.4 mA/cm2 for 0-75% Ar) and n+ « ne (3.9x1010-9.0x1010 cm-3 for 0-75% Ar). A decrease in Te toward Ar-rich plasmas may be connected with increasing electron energy loss in inelastic collisions [5]. A growth in both n+ and ne is because of decreasing their losses in both volume (through decreasing rates of dissociative attachment and ion-ion recombination) and heterogeneous (through decreasing electron diffusion coefficient and ion Bohm velocity) processes. The opposite changes in ne and the dissociation rate coefficients for neutral multi-atomic species (which follow the behavior of Te) suggest the rather weak effect of yAr on the electron-impact kinetics for atoms and radicals. In such situation, the changes in the steady-state densities of fluorine-containing neutral particles with yAr are mainly determined by the decreasing amount of C4F8 in a feed gas.

10 -

S

о

СД

С

(J

Q

1013

20

40

60

80

Ar fraction in C4Fg+Ar, %

b

Fig. 1. Measured (1-3) and model-predicted (4) plasma parameters as functions of Ar fraction in C4F8+Ar feed gas at p = 6 mTorr, W = 900 W and Wdc = 200 W: 1 - electron temperature; 2 - positive ion and electron density; 3 - negative dc bias voltage on the substrate holder; 4 - ion energy flux Рис. 1. Экспериментальные (1-3) и расчетные (4) параметры плазмы в зависимости от доли аргона в плазмообразующей смеси C4F8+A при p = 6 мтор, W = 900 Вт and Wdc = 200 Вт: 1 - температура электронов; 2 - концентрация положительных ионов и электронов; 3 - отрицательное смещение на подложкодержа-теле; 4 - плотность потока энергии ионов

From Fig. 2, it can be seen that the dominant neutral species in pure C4F8 plasma are CFx (x = 1-3) radicals, C2F4 and C2F3. The most of these components either are directly formed from the original C4F8 molecules (R1, R2) or appear through their first-step dissociation products (R3-R5):

C4F8 + e ^ 2C2F4 + e (R1)

C4F8 + e ^ C3F6 + CF2 + e, (R2)

C3F6 + e ^ C2F4 + CF2 + e (R3)

C2F4 + e ^ 2CF2 + e (R4)

C2F4 + e ^ C2F3 + F + e (R5)

The high formation rate for CF radicals is provided by the electron-impact dissociations of C2F3 and CF2 through R6 and R7 while the high density of CF3 is supported by their formation in R8 and R9:

C2F3 + e ^ CF2 + CF + e (R6)

CF2 + e ^ CF + F + e (R7)

C2F4 + F ^ CF2 + CF3 (R8)

CF2 + F ^ CF3 (R9)

Fig. 2. Model-predicted densities of neutral species as functions of Ar fraction in C4F8+A feed gas: 1 - CF2, 2 - CF, 3 - CF3, 4 - C2F4, 5 - C2F3, 6 - CF4, 7 - F. The process conditions correspond to Fig. 1 Рис. 2. Расчетные концентрации нейтральных частиц в зависимости от доли аргона в плазмообразующей смеси C4F8+Ar: 1 - CF2, 2 - CF, 3 - CF3, 4 - C2F4, 5 - C2F3, 6 - CF4, 7 - F. Условия процесса соответствуют рис. 1

The last process occurs effectively both in gas phase and on the chamber surface. At the same time, the main channels for the formation of F atoms are R7, R10 and R11:

CF3 + e ^ CF2 + F + e (R10)

CF + e ^ C + F + e (R11)

while their decay, in addition to the heterogeneous recombination, is sufficiently contributed by R8. Since the last process provides an effective conversion F ^ CF2/CF3, the condition [F] << [CF2], [CF3] always takes place. An increase in yAr lowers the amount of C4F8 molecules coming to the reactor chamber, suppresses the rates of R1-R6 and results in the monoton-ically decreasing densities of all fluorine-containing components. The faster change of [CF3] (by 7.2 times for 0-75% Ar) as well as the slower decrease in [F] (by 1.7 times for 0-75% Ar) are connected with the kinetics of R8. Really, since the first reactant of R8 is produced by R1 from the original C4F8 molecules, the transition toward Ar-rich plasmas noticeably lowers both decay rate for F atoms and formation rate for CF3 radicals. It is important to note that the above data on plasma parameters and densities of neutral species in C4F8+Ar gas system are in good agreement with the existing model-based [16, 17] and experimental [7, 19] works.

0

This allows one to assume that our model adequately describes plasma chemistry and correctly reflects the relationships between input process parameters and gas-phase composition.

Fig. 3 represents the influence of C4Fs/Ar mixing ratio on the etching rates for Si and SiO2. It can be seen that an increase in yAr from 0-75% results in mon-otonically increasing etching rates for both materials (95-134 nm/min, or by ~ 1.4 times for Si and 99165 nm/min, or by ~ 1.7 times for SiO2) as well as causes the weak (by ~ 18%) growth in SiO2/Si etching selectivity. At the same time, the flux of fluorine atoms follows the behavior of [F] and decreases from 1.6x1017-9.0x1016 cm-2s-1, or by 1.7 times for 0-75% Ar. Obviously, such situation corresponds to an increase in effective reaction probabilities yR = R/Ff for etched materials (0.05-0.12 for Si and 0.03-0.08 for SiO2, see Fig. 3). From previously published works [3-8], it can be understood that etching process for both Si and SiO2 in the highly polymerizing fluorocarbon plasmas are controlled by F atoms, but are influences by the fluorocarbon polymer film, deposited on the treated surface. Since the thicker film reduces the flux of F atoms on the film/Si or SiO2 interface compared with that coming from bulk plasma, one can speak about the decreasing effective reaction probability which can be roughly expected as yR ~ 1/h, where h is the film thickness. According to Refs. [5, 20-23], the basic approaches for the analysis of the fluorocarbon film deposition/etching kinetics may be formulated as follows:

- The growth of the fluorocarbon polymer film is provided by the radicals with two or more free bonds (in our case - CF2 and CF) [5, 21] as well as appears to be faster in fluorine-poor plasmas. The last is because the polymer surface contains the less saturated fluoro-carbon groups and thus, easier joins the CFx species from a gas phase) [21].

- The decomposition of the fluorocarbon polymer film on the plasma/film interface is provided by physical etching pathway with the rate of YsF+, where Ys ~ Si1/2 [22, 23] is the averaged sputtering yield.

As such, the fluorocarbon polymer deposition kinetics may be characterized by the Fpol/FF ratio, where Fpol is the total flux of polymerizing radicals CF2 and CF. Accordingly, the parameter Si1/2F+ (the so-called ion energy flux) characterizes the fluorocarbon film etching kinetics while the combination Fpol/Si1/2F+FF directly reflects the steady-state amount of residual polymer (and thus, the thickness of the flu-orocarbon polymer film) on the etched surface.

10

160

140

г 120

100

80

3 ----

20

40

60

80

Ar fraction in C4F8+Ar , %

Fig. 3. Measured etching rates (1, 2) and model-predicted effective reaction probabilities with fluorine atoms (3, 4) for SiO2 (1, 3) and Si (2, 4) as functions of Ar fraction in C4F8+Ar feed gas.

The process conditions correspond to Fig. 1 Рис. 3. Экспериментальные скорости травления (1, 2) и расчетные эффективные вероятности взаимодействия с атомами фтора (3, 4) для SiO2 (1, 3) и Si (2, 4) в зависимости от доли аргона в плазмообразующей смеси C4F8+Ar. Условия процесса соответствуют рис. 1

From plasma modeling results, it was found that the behaviors of Ff and Fpol follow the densities of corresponding species shown in Fig. 2. Accordingly, an increase in yAr results in monotonically decreasing Ff (1.6-1017-9.0-1016 cm-2s-1, or by 1.7 times, for 0-75% Ar), Fpol (2.0-1018-5.7-1017 cm'V1, or by 3.5 times, for 0-75% Ar) and Fpol/FF ratio (12.8-6.3 for 0-75% Ar, see Fig. 4(a)). From Fig. 1(b), it can be seen also that the transition toward Ar-rich plasmas results in decreasing both -Udc (278-226 V for 0-75% Ar) and ion bombardment energy (si = 307-246 eV for 0-75% Ar). However, this tendency is completely overcompensated by increasing ion flux (F+ = 6.6-1015-1.5-1016 cm_2s_1 for 0-75% Ar), so that the parameter Si1/2F+ shows the growth in the range of 1.2-1017-7.9-1017 eV^cm'V1. It is important to note that the mentioned change in Fpol/FF is in good agreement with the experimentally measured fluorocarbon polymer deposition rates from Refs. [6, 24]. Also, the change of Si1/2F+ versus C4F8/Ar mixing ratio has a good agreement with measured flu-orocarbon polymer etching rates in C4F8x + Ar plasma [6, 24]. These facts confirm that the above gas-phase-related parameters adequately reflect the influence of operating conditions on both fluorocarbon polymer deposition and etching kinetics. Accordingly, the combination of decreasing Fpol/FF and increasing Si1/2F+ provides the monotonic decrease in Fpol/Si1/2F+FF (1.1 -10"16-2.7-10"17 eV-1/2cm2s for 0-75% Ar) that points out on the decreasing thickness of the fluorocarbon polymer film. Earlier, the experimental work of Matsui

5

0

et al. [7] also pointed out on the decrease in the polymer film thickness on Si and SiO2 with decreasing fraction of C4F8 gas in C4F8 + Ar + O2 plasma with fixed Ar/O2 mixing ratio. Finally, Fig. 4(b) represents the correlation between effective reaction probabilities and the rpol/Si1/2r+rF ratio. The shape of the curves is quite close to that obtained in experiments for the correlations of the fluorocarbon film thickness with Si and SiO2 etching rates [6-8]. Obviously, the increase in effective reaction probability due to decreasing h ~ rpol/Si1/2r+rF toward Ar-rich plasmas overlaps the fall of Tf and leads to increasing R = yrTf. In fact, this reasonably explains why an increase in Ar fraction in C4F8+Ar gas mixture accelerates the Si and SiO2 etching processes at constant operating conditions.

20

40

60

80

Ar fraction in C4Fg+Ar

12 -

10

12

Гро/Ц^Г+^Х 10-17 eV1/2cm2S b

Fig. 4. Model-predicted parameters determining the SiO2 and Si etching kinetics in C4F8+Ar plasma. In Fig. a): 1 - Tpoi/TF; 2 - rpoi/(si1/2r+rF), 10-17. In Fig. б): 1 - SiO2; 2 - Si. The process conditions correspond to Fig. 1

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Рис. 4. Расчетные параметры, определяющие кинетику травления SiO2 и Si в плазме C4F8+Ar. На рис. а): 1 - Tpoi/TF; 2 - rpoi/(si1/2T+rF), 10-17. На рис. б): 1 - SiO2; 2 - Si. Условия процесса соответствуют рис. 1

Certainly, one can understand that the proposed mechanism provides mainly the qualitative analysis of etching process due to the evident simplifications in primary assumptions. Particularly, the C4F§/Ar mixing ratio may also influence the effective reaction probability through the chemical composition of the fluorocarbon polymer film which determines the film

permittivity for etchant species, sputtering yield, etc. However, since the given phenomenological approach provides the same results with numerous experimental studies, it can be an effective tool for obtaining the information on the etching process kinetics as well as for etching process optimization.

The reported study was funded by RFBR according to the research project № 18-37-00064 mola.

REFERENCES ЛИТЕРАТУРА

1. Makabe T., Petrovic Z. Plasma electronics: applications in microelectronic device fabrication. New York: Taylor & Francis. 2006. 330 p.

2. Rooth J.R. Industrial Plasma Engineering. Philadelphia: IOP Publishing LTD. 2001. 658 p.

3. Plasma Etching Processes for CMOS Devices Realization. London: ISTE Press - Elsevier. 2017. 125 p.

4. Wolf S., Tauber R.N. Silicon Processing for the VLSI Era. V. 1. Process Technology. New York: Lattice Press. 2000. 416 p.

5. Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. New York: John Wiley & Sons Inc. 2005. 730 p.

6. Li X., Hua X., Ling L., Oehrlein G.S., Barela M., Anderson H.M. Fluorocarbon-based plasma etching of SiO2: Comparison of C4F6/Ar and C4F8/Ar discharges. J. Vac. Sci. Technol. A. 2002. V. 20. P. 2052-2061.

7. Matsui M., Tatsumi T., Sekine M. Relationship of etch reaction and reactive species flux in C4F8-Ar-O2 plasma for SiO2 selective etching over Si and Si3N4. J. Vac. Sci. Technol. A. 2001. V. 19. P. 2089-2096.

8. Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydro-genated silicon carbide. J. Vac. Sci. Technol. A. 2004. V. 22. P. 53-60.

9. Son J., Efremov A., Yun S.J., Yeom G.Y., Kwon K.-H. Etching characteristics and mechanism of SiNx films for Nano-Devices in CH2F2/O2/Ar inductively coupled plasma: Effect of O2 mixing ratio. J. Nanosci. Nanotech. 2014. V. 14. P. 9534-9540.

10. Johnson E.O., Malter L. A floating double probe method for measurements in gas discharges. Phys. Rev. 1950. V. 80. P. 58-70.

11. Sugavara M. Plasma etching: Fundamentals and applications. New York: Oxford University Press. 1998. 469 p.

12. Kwon K.-H., Efremov A., Kim M., Min N. K., Jeong J., Kim K. A model-based analysis of plasma parameters and composition in HBr/X (X=Ar, He, N2) inductively coupled plasmas. J. Electrochem. Soc. 2010. V. 157. P. H574-H579.

13. Efremov A., Min N.K., Choi B.G., Baek K.H., Kwon K.-H. Model-based analysis of plasma parameters and active species kinetics in Cl2/X (X=Ar, He, N2) inductively coupled plasmas. J. Electrochem. Soc. 2008. V. 155. P. D777-D782.

14. Lee J., Efremov A., Lee J., Yeom G.Y., Kwon K.-H. Silicon surface modification using C4F8+O2 plasma for nano-imprint lithography. J. Nanosci. Nanotech. 2015. V. 15. P. 8749-8755.

15. Chun 1, Efremov A., Yeom G.Y., Kwon K.-H. A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications. Thin Solid Films. 2015. V. 579. P. 136-143.

0

a

2

2

4

6

8

16. Kokkoris G., Goodyear A., Cooke M., Gogolides E. A

global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics. J. Phys. D: Appl. Phys. 2008. V. 41. P. 195211-195222.

17. Rauf S., Ventzek P. L. G. Model for an inductively coupled A-C4F8 plasma discharge. J. Vac. Sci. Technol. A. 2002. V. 20. P. 14-23.

18. Lee J., Efremov A., Yeom G.Y., Lim N., Kwon K.-H. Application of Si and SiÜ2 etching mechanisms in CF4/C4F8/Ar inductively coupled plasmas for nanoscale patterns. J. Na-nosci. Nanotech. 2015. V. 15. P. 8340-8347.

19. Tatsumi T., Hayashi H., Morishita S., Noda S., Okigawa M., Itabashi N., Nikisaka Y., Inoue M. Mechanism of radical control in capacitive RF plasma for ULSI processing. Jpn. J. Apl. Phys. 1998. V. 37. P. 2394-2405.

20. Efremov A.M., Kim D.-P., Kim C.-I Simple model for ionassisted etching using Cl2-Ar inductively coupled plasma: Effect of gas mixing ratio. IEEE Trans. Plasma Sci. 2004. V. 32. P. 1344-1351.

21. Lee J., Efremov A., Kwon K.-H. On the relationships between plasma chemistry, etching kinetics and etching residues in CF4+C4F8+Ar and CF4+CH2F2+Ar plasmas with various CF4/C4F8 and CF4/CH2F2 mixing ratios. Vacuum. 2018. V. 148. P. 214-223.

22. Gogolides E., Vauvert P., Kokkoris G., Turban G., Bou-douvis A.G. Etching of SiO2 and Si in fluorocarbon plasmas: A detailed surface model accounting for etching and deposition. J. Phys. D: Appl. Phys. 2000. V. 88. P. 5570-5584.

23. Jin W., Vitale S.A., Sawin H.H. Plasma-surface kinetics and simulation of feature profile evolution in Cl2+HBr etching of polysilicon. J. Vac. Sci. Technol. A. 2002. V. 20. P. 2106-2115.

24. Li X., Ling L., Hua X., Fukasawa M., Oehrlein G.S., Barela M., Anderson H.M. Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas. J. Vac. Sci. Technol. A. 2003. V. 21. P. 284-295.

Поступила в редакцию 09.04.2018 Принята к опубликованию 25.10.2018

Received 09.04.2018 Accepted 25.10.2018

i Надоели баннеры? Вы всегда можете отключить рекламу.