Научная статья на тему 'Параметры плазмы и кинетика травления SiO2 в смеси C4F8 + Ar + O2'

Параметры плазмы и кинетика травления SiO2 в смеси C4F8 + Ar + O2 Текст научной статьи по специальности «Физика»

CC BY
136
30
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
SIO2 / ETCHING / POLYMERIZATION / FLUORINE ATOM FLUX / ION ENERGY FLUX / EFFECTIVE REACTION PROBABILITY / ETCHING YIELD / ТРАВЛЕНИЕ / ПОЛИМЕРИЗАЦИЯ / ПОТОК АТОМОВ ФТОРА / ПОТОК ЭНЕРГИИ ИОНОВ / ЭФФЕКТИВНАЯ ВЕРОЯТНОСТЬ ВЗАИМОДЕЙСТВИЯ / ВЫХОД ТРАВЛЕНИЯ

Аннотация научной статьи по физике, автор научной работы — Ефремов Александр Михайлович, Бетелин Владимир Борисович, Kwon Kwang-Ho

Проведено исследование влияния соотношения Ar/O2 на параметры плазмы, стационарные концентрации активных частиц и кинетику травления SiO2 в трехкомпонентной смеси C4F8+Ar+O2 в условиях, характерных для процессов реактивно-ионного травления (индукционный 13,56 МГц ВЧ разряд, общее давление газа 6 мТорр, вкладываемая мощность 700 Вт и мощность смещения 200 Вт). Алгоритм исследования сочетал измерения скоростей травления, диагностику плазмы зондами Лангмюра и 0-мерную (глобальную) модель плазмы для получения данных по стационарным концентрациям и плотностям потоков активных частиц. Было найдено, что полное замещение аргона на кислород при постоянном содержании фторуглеродного компонента (фактически, переход от системы 50% C4F8 + 50% Ar к 50% C4F8 + 50% O2): 1) характеризуется слабым немонотонным (с максимумом) изменением скорости травления SiO2 с близкими абсолютными значениями в точках с нулевыми содержаниями O2 и Ar; 2) вызывает монотонное снижение плотностей потоков атомов фтора и энергии ионов; 3) способствует снижению толщины фторуглеродной полимерной пленки на обрабатываемой поверхности за счет ее окислительной деструкции. Модельный анализ кинетики травления позволил заключить, что увеличение эффективной вероятности взаимодействия в гетерогенном процессе SiO2 + F противоречит поведению плотности потока энергии ионов, но качественно согласуется с изменением параметров газовой фазы, отслеживающих толщину фторуглеродной полимерной пленки. Таким образом, рост содержания кислорода в плазмообразующей смеси влияет на эффективную вероятность взаимодействия за счет снижения толщины фторуглеродной полимерной пленки и облегчения доступа атомов F к обрабатываемой поверхности.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

PLASMA PARAMETERS AND SIO2 ETCHING KINETICS IN C4F8 + AR + O2 GAS MIXTURE

The effect of Ar/O2 mixing ratio on plasma parameters, steady-state densities of active species and SiO2 etching kinetics in the three-component C4F8+Ar+O2 gas mixture was studied under typical conditions of reactive ion etching process (inductive 13.56 MHz RF discharge, total gas pressure of 6 mTorr, input power of 700 W and bias power of 200 W). The investigation combined etching rate measurements, plasma diagnostics by Langmuir probes and 0-dimensional (global) plasma modeling in order to determine steady-state densities and fluxes of plasma active species. It was found that the full substitution of Ar for O2 at constant fraction of fluorocarbon gas (in fact, the transition from 50% C4F8 + 50% Ar to 50% C4F8 + 50% O2 gas system): 1) results in weakly non-monotonic (with a maximum) SiO2 etching rate with close values for both O2-free and Ar-free plasmas; 2) causes the monotonic decrease in both F atom flux and ion energy flux; and 3) suppresses the formation of the fluorocarbon polymer film on the etched surface through its oxidative destruction pathway. The model-based analysis of SiO2 etching kinetics allowed one to conclude that an increase in effective probability for SiO2 + F reaction contradicts with the behavior ion energy flux as well as demonstrate the agreement with the change in gas-phase parameters characterizing the fluorocarbon film thickness. Therefore, an increase in O2 content in a feed gas influences the effective reaction probability by decreasing fluorocarbon film thickness and providing better access of F atoms to the etched surface.

Текст научной работы на тему «Параметры плазмы и кинетика травления SiO2 в смеси C4F8 + Ar + O2»

DOI: 10.6060/ivkkt.20206306.6163 УДК: 537.535

ПАРАМЕТРЫ ПЛАЗМЫ И КИНЕТИКА ТРАВЛЕНИЯ SiO2 В СМЕСИ C4F8 + Ar + O2

А.М. Ефремов, В.Б. Бетелин, K.-H. Kwon

Александр Михайлович Ефремов*

Ивановский государственный химико-технологический университет, Шереметевский просп., 7, Иваново, Российская Федерация, 153000

Владимир Борисович Бетелин

Научно-исследовательский институт системных исследований РАН, Нахимовский просп., 36, к.1,

Москва, Российская Федерация, 117218

betelin@niisi.msk.ru

Kwang-Ho Kwon

Korea University, 208 Seochang-Dong, Chochiwon, Korea, 339-800 kwonkh@korea.ac.kr

Проведено исследование влияния соотношения Ar/O2 на параметры плазмы, стационарные концентрации активных частиц и кинетику травления SiO2 в трехкомпонент-ной смеси C4Fs+Ar+O2 в условиях, характерных для процессов реактивно-ионного травления (индукционный 13,56 МГц ВЧразряд, общее давление газа 6 мТорр, вкладываемая мощность 700 Вт и мощность смещения 200 Вт). Алгоритм исследования сочетал измерения скоростей травления, диагностику плазмы зондами Лангмюра и 0-мерную (глобальную) модель плазмы для получения данных по стационарным концентрациям и плотностям потоков активных частиц. Было найдено, что полное замещение аргона на кислород при постоянном содержании фторуглеродного компонента (фактически, переход от системы 50% C4F8 + 50% Ar к 50% C4F8 + 50% O2): 1) характеризуется слабым немонотонным (с максимумом) изменением скорости травления SiO2 с близкими абсолютными значениями в точках с нулевыми содержаниями O2 и Ar; 2) вызывает монотонное снижение плотностей потоков атомов фтора и энергии ионов; 3) способствует снижению толщины фторуглеродной полимерной пленки на обрабатываемой поверхности за счет ее окислительной деструкции. Модельный анализ кинетики травления позволил заключить, что увеличение эффективной вероятности взаимодействия в гетерогенном процессе SiO2 + F противоречит поведению плотности потока энергии ионов, но качественно согласуется с изменением параметров газовой фазы, отслеживающих толщину фторуглеродной полимерной пленки. Таким образом, рост содержания кислорода в плазмообразующей смеси влияет на эффективную вероятность взаимодействия за счет снижения толщины фторуглеродной полимерной пленки и облегчения доступа атомов F к обрабатываемой поверхности.

Ключевые слова: SiO2, травление, полимеризация, поток атомов фтора, поток энергии ионов, эффективная вероятность взаимодействия, выход травления

PLASMA PARAMETERS AND SiO2 ETCHING KINETICS IN C4F8 + Ar + O2 GAS MIXTURE

A.M. Efremov, V.B. Betelin, K.-H. Kwon

Alexander M. Efremov*

Ivanovo State University of Chemistry and Technology, Sheremetevskiy ave., 7, Ivanovo, 153000, Russia efremov@isuct.ru*

Vladimir B. Betelin

Scientific Research Institute of System Analysis RAS, Nakhimovsky ave., 36, bld. 1, Moscow, 117218, Russia betelin@niisi.msk.ru

Kwang-Ho Kwon

Korea University, 208 Seochang-Dong, Chochiwon, Korea, 339-800 kwonkh@korea.ac.kr

The effect of Ar/O2 mixing ratio on plasma parameters, steady-state densities of active species and SiO2 etching kinetics in the three-component C4F8+Ar+O2 gas mixture was studied under typical conditions of reactive ion etching process (inductive 13.56 MHz RF discharge, total gas pressure of 6 mTorr, input power of 700 W and bias power of200 W). The investigation combined etching rate measurements, plasma diagnostics by Langmuir probes and 0-dimensional (global) plasma modeling in order to determine steady-state densities and fluxes of plasma active species. It was found that the full substitution of Ar for O2 at constant fraction of fluorocarbon gas (in fact, the transition from 50% C4F8 + 50% Ar to 50% C4F8 + 50% O2 gas system): 1) results in weakly non-monotonic (with a maximum) SiO2 etching rate with close values for both O2-free and Ar-free plasmas; 2) causes the monotonic decrease in both F atom flux and ion energy flux; and 3) suppresses the formation of the fluorocarbon polymer film on the etched surface through its oxidative destruction pathway. The model-based analysis of SiO2 etching kinetics allowed one to conclude that an increase in effective probability for SiO2 + F reaction contradicts with the behavior ion energy flux as well as demonstrate the agreement with the change in gas-phase parameters characterizing the fluorocarbon film thickness. Therefore, an increase in O2 content in a feed gas influences the effective reaction probability by decreasing fluorocarbon film thickness and providing better access of F atoms to the etched surface.

Key words: SiO2, etching, polymerization, fluorine atom flux, ion energy flux, effective reaction probability, etching yield

Для цитирования:

Ефремов А.М., Бетелин В.Б., Kwon K.-H. Параметры плазмы и кинетика травления SiO2 в смеси C4F8 + Ar + O2.

Изв. вузов. Химия и хим. технология. 2020. Т. 63. Вып. 6. С. 37-43

For citation:

Efremov A.M., Betelin V.B., Kwon K.-H. Plasma parameters and SiO2 etching kinetics in C4F8 + Ar + O2 gas mixture. Izv.

Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol. [Russ. J. Chem. & Chem. Tech.]. 2020. V. 63. N 6. P. 37-43

INTRODUCTION

Silicon dioxide (SiO2) is a well-known dielectric material which found many applications in integrated electronic device structures. The nearest examples are final passivation and protective layers, hard masks and gate dielectrics in field-effect structures [1-4]. As most of mentioned applications require the precise patterning of SiO2 layers, there were many studies reported about the plasma-assisted etching characteristics and mechanisms for SiO2 in fluorocarbon (CxFy) gas plasmas [5-10]. The results of existing works may briefly be summarized as follows:

- The spontaneous chemical between SiO2 and F atoms is thermodynamically prohibited because the Si-O bond of ~ 799 kJ/mol is stronger than the Si-F one of ~ 552 kJ/mol [11]. As such, the SiO2 dry etching process requires the ion bombardment in order to destruct Si-O bonds (in fact, to produce the chemi-sorption sites for F atoms) as well as to sputter the low volatile fluorinated layer [4-6].

- The ion bombardment energy more than 200 eV is generally enough to provide the reaction-rate-limited etching regime where the SiO2 etching rate is controlled by F atom flux [12, 13]. Particularly, the non-monotonic (with a maximum at 30-40% O2) etching rate for SiO2 in the CF4 + O2 plasma is surely as-

sociated with the same non-monotonic behavior of F atom density [12, 13].

- The highest SiO2 etching rates were obtained for low-polymerizing fluorocarbons, such as CF4, while the maximum SiO2/Si etching selectivity was found for high-polymerizing gas systems (C2F6, C4F8, CHF3) [7, 9, 12]. The last phenomenon is connected with the much lower thickness of the fluorocarbon polymer film on the oxygen-containing surface [8-10].

Since the SiO2/Si etching selectivity is the critical issue for many practical cases, the correct interpretation of various etching effects in high-polymerizing fluorocarbon gases with accounting for SiO2 reactive-ion etching mechanism is an important for further process optimization. Particularly, it was found that the variation of gas mixing ratio in both C4F8+Ar and C4F8 + O2 plasmas resulted in non-moronic (with a maximum at ~ 50% additive gas) SiO2 etching rates [14, 15]. Though the authors attributed these effects to the change in fluorocarbon polymer film thickness, they did not support their suggestions by the analysis of plasma chemistry and/or polymer film deposition kinetics. Moreover, the obtained nonmonotonic etching rates formally contradict with monotonic change in measured steady-state polymer film thickness as well as with a maximum on the pol-

A.M. E^peMOB, B.E. EeTe^HH, K.-H. Kwon

ymer deposition rate [14]. As such, the SiO2 etching mechanism in C4F8-based gas mixtures is not completely understood yet.

The main goal of this study was to investigate how the O2/Ar mixing ratio in C4F8 + Ar + O2 plasma influences the SiO2 etching rate through the change in gas-phase plasma characteristics (electron temperature, energy of ion bombardment, and densities and fluxes of plasma-active species). In addition, we attempted the model-based analysis of the SiO2 etching mechanisms in order to understand relationships between processing parameters and output process characteristics.

EXPERIMENTAL AND MODELING DETAILS

Experiments were performed in the planar inductively coupled plasma (ICP) reactor with a cylindrical chamber (r = 15 cm, l = 12.8 cm) made from anodized aluminum [10]. Plasma was excited using a 13.56 MHz radio-frequency (RF) power supply connected to a flat 5-turn copper coil on the top side of the chamber. Another RF power supply was connected to the chuck electrode in order to control the ion bombardment energy (si) through the negative dc bias voltage (-Udc). The latter was measured by the highvoltage probe AMN-CTR (Youngsin Eng, Korea). The chuck electrode had a built-in water-flow cooling system that allowed one to maintain its temperatures at -17 °C. Experiments were carried out at constant gas pressure (p = 6 mTorr), total gas flow rate (q = 40 sccm), input power (W = 900 W) and bias power (Wdc= 200 W) while the variable parameter was the Ar/O2 mixing ratio. The latter was adjusted by the partial flow rates for corresponding gases at fixed C4F8 flow rate of 20 sccm. Accordingly, the fraction of the fluorocarbon component in a feed gas, y(C4F8), was always 50%, and the remaining half was composed of various amounts of y(Ar) and y(O2). An increase in O2 flow rate from 0-20 sccm corresponded to full substitution of Ar for O2 as well as to the transition from 50% C4F8 + 50% Ar to 50% C4F8 + 50% O2 gas system.

Plasma diagnostic by double Langmuir probe (DLP2000, Plasmart Inc.) provided the data on electron temperature (Te) and ion current density (J+). The latter were extracted from voltage-current curves using the well-known statements of the double Lang-muir probe theory [16]. In order to minimize the influence of fluorocarbon polymer film on measured I-V curves, the probe tip was cleaned in 50% Ar + 50% O2 plasma for 1 min before and after each measurement. As a result, the difference between data points recorded under the same experimental conditions within a time period of - 5 min did not exceed the standard experimental error.

Etched samples were the fragments of Si wafer with the 500 nm-thick SiO2 layer produced by PECVD method. The sample surface had the size of about 2x2 cm and was partially covered by the photoresist mask (AZ1512, -1.5 ^m) in order to provide the measurement of the etched depth, Ah. For this purpose, we used the surface profiler Alpha-Step 500 (Tencor). The SiO2 etching rates were determines as R = Ah/x, where x = 2 min is the processing time. In preliminary experiments, it was found that 1) an increase in x up to 10 min did not disturb the nearly linear behavior for Ah = f(x); 2) an increase in amount in simultaneously etched samples up to 10 pieces did not influence the SiO2 etching rate; and 3) the presence of etched sample in the reactor chamber had no effect on results of plasma diagnostics by Langmuir probes. All these suggest the steady-state kinetic regime of the ion-assisted chemical reaction as well as the negligible influence of reaction products on gas-phase plasma parameters.

In order to analyze the influence of Ar/O2 mixing ratio on kinetics and densities of plasma active species, we developed a simplified 0-dimensional (global) kinetic model operated with volume-averaged plasma parameters. Similar to our previous works [17, 18], the model was based on the simultaneous solution of steady-state kinetic equations with experimental data on Te and J+ as the input parameters. The basic assumptions were that:

- The electron energy distribution function (EEDF) in highly-ionized plasmas (n+/N - 10-4, where n+ is the total positive ion density, and N is the gas density) is strongly influenced by electron-electron collisions. This allows one to use the Maxwelian approximation for EEDF when calculating the electron-impact rate coefficients and related plasma parameters [12, 19].

- The measured ion current density is linked with the total density of positive ions as J+ « 0.61n+(eTe/mi)1/2 [12], where mi is the effective ion mass. The latter may be roughly evaluated through known fractions and ionization rate coefficients for dominant neutral species [17].

- The electronegativity of low-pressure C4F8 + Ar + O2 plasma is low enough to assume n+ « ne, where ne is the electron density [19, 20].

The set of chemical reactions was directly taken from Ref. [17]. Corresponding kinetic scheme has demonstrated an acceptable agreement between model-predicted and measured species densities in C4F8-containing plasmas [17, 19-21].

RESULTS AND DISCUSSION

From Fig. 1, it can be seen that an increase in O2 fraction from 0-50% (i.e. the full substitution of Ar for O2) results in weakly non-monotonic SiO2 etching

rate that shows a maximum of ~ 125 nm/min at 25% Ar + 25% O2. This value is about 1.4 times higher than those obtained in CF4+Ar plasma (~ 97 nm/min) and CF4+O2 plasma (~ 92 nm/min). Therefore, the principal questions are 1) why the change in additive gas has the only weak overall effect on SiO2 etching rate; and 2) what mechanism produces the maximum in SiO2 etching rate in the three-component gas system.

10° л

-d й

CS

Л

10"

1,4 ! 1,2

I 1,0 ; 0,8 0,6

cS

tg Й

£0,4

О

w

0,2

10 0,0

10

20 30 y(O2), %

40

50

0,05 -2

6 8 10 "15 2

Г /Г-Г«, 10 cm s

pol F O'

12

14

b

Fig. 1. Parameters characterizing the SiO2 etching kinetics in C4F8+Ar+O2 plasma. In Fig. a: 1 - SiO2 etching rate; 2 - effective reaction probability (yR); 3 - effective etching yield (YR). In Fig. б): effective reaction probability (yR) as a function of rpol/TFrO flux ratio characterizing the fluorocarbon polymer film thickness Рис. 1. Параметры, характеризующие кинетику травления SiO2 в плазме C4F8+Ar+O2. На рис. a: 1 - скорость травления SiO2; 2 - эффективная вероятность взаимодействия (yR); 3 - выход травления (YR). На рис. б: зависимость эффективной вероятности взаимодействия (yR) от отношения плотностей потоков rpol/rFrO, характеризующего толщину фторуглеродной полимерной пленки

According to Refs. [22, 23], the experimentally obtained rate of the reactive-ion etching process, R, may be represented as a sum of two components, Rphys + Rchem. Here, Rphys is the rate of physical sputtering of SiO2 etching surface while Rchem is the rate of the ion-assisted chemical reaction between SiO2 and F atoms. In preliminary experiments, it was found that the SiO2 etching rate in pure Ar plasma (in fact, Rphys)

under the given set of processing condition does not exceed 5 nm/min. As such, one can surely suggest that Rphys << Rchem and R « Rchem = YrTf, where Tf is the flux of fluorine atoms, and yr is the effective reaction probability. From the last expression, one can assume at least two mechanism which may result in the non-monotonic R = f(y(O2)) curve as well as in the nearly-constant SiO2 etching rate under the conditions of y(Ar) = 50% and y(O2) = 50%. These are: 1) the non-monotonic change in TF due to the change in formation and/or decay kinetics for F atoms; and 2) the monotonic, but opposite changes for TF and yr with increasing y(O2). Obviously, in given gas system, the parameter yr may be sensitive to many factors influencing the formation of free surface sites (Si-O bong breaking) and their accessibility for the adsorption of F atoms (desorption of reaction products, fluorocarbon film thickness). Therefore, the correct interpretation of SiO2 etching mechanism requires the data on the plasma parameters as well as on the densities and fluxes of F atoms, polymerizing radicals, and positive ions. For this purpose, we performed plasma diagnostics by Langmuir probes and plasma modeling.

From Table 1, it can be seen that the substitution of Ar for O2 results in decreasing both Te (4.8-3.1 eV for 0-50% O2) and J+ (1.21-0.91 mA/cm2 for 0-50% O2) values. The first effect results from an increase in the electron energy loss due to the low-threshold excitations (vibrational, electronic) for both O2 and molecular reaction products. The mentioned decreased in J+ follows the behavior of n+ (4.21010-3.71010 cm-3 for 0-50% O2). This is because the transition to O2-rich plasmas 1) suppresses the ionization through the decreasing ionization rate coefficients for all neutral species due to deceasing Te; and 2) accelerates the decay of positive ions in the ion-ion recombination process due to increasing densities of electronegative species (O2 itself and oxygen-containing reaction products). Accordingly, the similar decreasing tendency was found for ion flux T+ (7.61015-5.71015 cmV for 0-50% O2, see Fig. 2).

Fig. 2 illustrates the influence of O2 content in the C4F8+Ar+O2 gas mixture on densities and fluxes of neutral species. It can be seen that the main gasphase components in the 50% C4F8 + 50% Ar gas system are CFx (x = 1-3) radicals, C2F4, and C2F3 [17, 19, 20]. Among these, CF2 and C2F4 represent the firststep dissociation products of original C4F8 molecules (R1: C4F8 + e ^ C3F6 + CF2 + e and R2: C4F8 + e ^ 2C2F4 + e) while the condition [CF2] >> [C2F4] is provided by R3: C2F4 + e ^ 2CF2 + e fe - 1.3-10"8 cm3/s), R4: C2F4 + e ^ C2F3 + F + e (k4 - 3.0-10-9 cm3/s) and R5: C2F4 + F ^ CF2 + CF3 (k5 - 4.0-10"11 cm3/s). The

2

0

a

4

high density of CF radicals is provides by their fast formation in R6: CF2 + e ^ CF + F + e and R7: C2F3+ + e ^ CF2 + CF + e. Accordingly, the high density of CF3 is supported by R5 and a group of heterogeneous processes R8: CFx + F ^ CFx+i with x = 2. The main source of F atoms is represented by R9: CFx + e ^ ^ CFx-1 + F + e with x = 1-3 while the decay of these species is mainly due to R8 and R5. Since the last process provides an effective conversion F ^ CFx with x = 2 and 3, the condition [F] << [CFx] always takes place.

The substitution of Ar for O2 at constant y(C4F8) noticeably reduces the rates of R9 (due to the simultaneous decrease in Te and ne) as well as introduces additional pathways for the decomposition of CFx radicals through R10: CFx + O ^ CFx-iO + F, R11: CFx + O(1D) ^ CFx-1O + F and R12: CFxO + e ^ ^ CFx-1O + F + e. This provides the monotonic decrease in [CFx] (4.7-1013-1.2-1013 cm-3 for x = 2 and 2.9-1013-1.3-1012 cm-3 for x = 1 at 0-50% O2, see Fig. 2(a)) toward O2-rich plasmas. At the same time, the effective loss of O2 molecules through R13: CF + O2 ^ CFO + O (k13 - 3.2-10-11 cm3/s) and R14: C + O2 ^ CO + O (k14 - 2.0-10-11 cm3/s) limits formation rates for O and O(1D) species in R16: O2 + e ^ 2O + e, R17: O2 + e ^ O + O(1D) + e and R18: O + e ^ O(1D) + e. The luck of oxygen atoms reduces the effect of R10-R12 on the F atom formation kinetics (in fact, keeps the condition R9 > R10 + R11 + R12 for 050% O2) and thus, leads to monotonically decreasing F atom density ([F] = 8.0-1012-8.6-1011 cm-3 for 0-50% O2) and flux (ГР = 1.6-1017-1.8-1016 cm-2s-1 for 0-50% O2).

Table 1

Electro-physical plasma parameters in C4F8+Ar+O2 gas mixture

Таблица 1. Электрофизические параметры плазмы в смеси C4F8+Ar+O2

y(O2), T J+, n+, 1010 -Udc,

% eV mA/cm2 -3 cm V

0 4.81 1.21 4.21 145

13 4.51 1.16 4.09 160

25 4.00 1.08 3.96 167

38 3.53 0.98 3.77 172

50 3.12 0.91 3.66 177

From the comparison of Figs. 1(a) and 1(b), one can conclude that the non-monotonic SiO2 etching rate contradicts with the behavior of rF. Such situation corresponds to monotonically increasing effective reaction probability in the range of 0.02-0.2 for 0-50% O2 (Fig. 1(a)). In non- or low-polymerizing

101

о £

и й D

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Q

101

101

101

101

и s

101

co„

о

0 10 20 30 40 50 y(O2), % a

101

0 10 20 30 40 50 y(O2), %

b

Fig. 2. Steady-state densities (a) and fluxes (б) of active species in C4F8+Ar+O2 plasma. In Fig. b "pol" - is the total flux of polymerizing radicals

Рис. 2. Стационарные концентрации (a) и плотности потоков (б) активных частиц в плазме C4F8+Ar+O2. На рис. б "pol" -суммарная плотность потока полимеробразующих радикалов

о

plasma, the non-constant yR at constant surface temperature is normally associated with the change in the rate of ion-stimulated desorption for reaction products and thus, with the ion bombardment intensity. According to previously published works [17, 18], the intensity of ion bombardment may be traced by the parameter (MiBi)1/2r+, where Mi is the effective ion molar mass, si = e(-Uf - Udc) is the ion bombardment energy, and Uf is the floating potential. It was found that, under the given set of processing condition, a weak increase in both Udc and si toward O2-rich plasmas (Tabs. 1 and 2) did not compensate the deeper fall of r+. As a result, the parameter (Misi )1/2r decreases monotonically in the range of 7.3-10175.4-1017 eV1/2cmV for 0-50% O2. These data clearly show that the almost tenfold increase in yR cannot be explained the simple ion-stimulated desorption mechanism.

Another reasonable assumption is that yR may be influenced by the fluorocarbon polymer deposition/decomposition kinetics through the fluorocarbon film thickness, hpol. Since the film retards the access of F atoms to the etched surface, the decreasing tendency for yR = f(hpol) is quite expected. According to Refs. [5-7, 9], basic approaches for the analysis of the fluorocarbon film deposition/decomposition kinetics are as follows:

- The formation of the fluorocarbon polymer film is provided by CFx radicals with x = 1, 2 as well as appears to be faster in fluorine-poor plasmas. The last effect is because the polymer surface contains more "open" bonds and thus, easier joins CFx species from a gas phase. As such, the polymer deposition rate may be traced by the rpol/rF ratio, where rpol is the total flux of polymerizing radicals.

- The decomposition of the fluorocarbon polymer film is provided by both physical (fragmentation by ion bombardment) and chemical (interaction with oxygen atoms) pathways. Therefore, the change in fluorocarbon polymer film thickness due to these factors may be traced by the parameters rpol/(Misi)1/2r+rF and rpol/rOrF, respectively.

It was found that, as the O2 content in a feed gas increases, the parameter rpol/(Misi)1/2r+rF keeps the nearly constant value in the range of 9.3-10-181.4-10-17 eV-1/2cm2s for 0-50% O2 (Table 2). In fact, this means that there are no principal changes in physical etching pathway of the fluorocarbon polymer film. Oppositely, the parameter rpol/rOrF exhibit the sufficient decrease toward O2-rich plasmas (3.0-10-142.4-10-15 cm2s for 5-50% O2, see Table 2) that probably corresponds to a nearly proportional decrease in hpol.

As such, the mentioned change in yR has an opposite trend but is very close in relative scale to that expected for hpol. In our opinion, this finding may be accepted as the indirect proof that and increase in yR is really connected with decreasing hpol and increasing F atom flux on the polymer film/etched surface interface. In addition, Fig. 1(b) represents the correlation between yR and rpol/TOrF ratio. Both shape of the curve and the tendency itself are very close to those obtained for reaction probabilities and etching yields as functions of hpoi in several experimental works related to the etching of Si and SiO2 in fluorocarbon gas plasmas [5, 6, 24]. Therefore, one can surely assume that, under the given set of processing conditions 1) a decrease in hpol toward O2-rich plasmas provides an increase in yR; and 2) an increase in yR produces the non-monotonic SiO2 etching rate with monotonically decreasing F atom flux.

Table 2

Gas-phase-related parameters for tracing the heterogeneous kinetics Таблица 2. Параметры газовой фазы, характеризующие кинетику гетерогенных процессов

y(O2), Si, Tpol^F rPol/(Mi6i)1/2r+rF, Tpo/To^

% eV eV-1/2cm2s cm2s

0 175 6.39 8.75-10-18 -

13 188 6.89 9.67-10-18 1.3410-14

25 192 8.29 1.1710-17 6.54-10-15

38 194 8.85 1.3110-17 4.13-10-15

50 196 9.12 1.40-10-17 2.4-10-15

Another approach which is sometimes used to analyze the reactive ion etching kinetics is to represents the etching process as the chemically-enhanced sputtering. In this case, the etching rate is YRr+, where YR is the ion-type-averaged etching yield [12]. In reactive gas plasmas, the latter depends on both ion bombardment energy and heterogeneous reaction kinetics through the nature and composition of sputtered material [23]. The data of Fig. 1(a) show that the variation of Ar/O2 mixing ratio causes the nonmonotonic YR with the overall increasing tendency toward O2-rich plasmas. The fact that the behavior of YR repeats one for etching rate is connected with the lower sputtering threshold for reaction products compared with SiO2 itself. As such, the higher etching rate formally corresponds to higher amount of easier sputtered material on the etched surface. Accordingly, an increase in YR toward O2-rich plasmas correlates with the behavior of ion bombardment energy.

CONCLUSIONS

In this work, we investigated the effect of Ar/O2 mixing ratio on plasma parameters, steady-state densities of active species and SiO2 etching kinetics in the three-component C4F8 + Ar + O2 gas mixture. It was found that the substitution of Ar for O2 at constant fraction of fluorocarbon gas 1) causes the monotonic decrease in both F atom flux and ion energy flux; and 2) results in non-monotonic (with a maximum) SiO2 etching rate with close values for both O2-free and Ar-free plasmas that corresponds to mono-tonically increasing effective reaction probability. From the model-based analysis of SiO2 etching kinetics, it was concluded that an increase in O2 content in a feed gas influences the effective reaction probability through decreasing thickness of fluorocarbon polymer film (due to the oxidative destruction) and providing better access of F atoms to the etched surface.

The publication was carried out within the framework of the state assignment of the Federal State Institution FNC NIISI RAS (fundamental research) on subject No. 0065-2019-0006 "Fundamental and applied research in the field of sub wave holographic lithography, physical and chemical etching processes of 3D nanometer dielectric structures for the development of critical technologies for the production of ECB".

REFERENCES ЛИТЕРАТУРА

1. Nojiri K Dry etching technology for semiconductors. Tokyo: Springer International Publishing. 2015. 116 p.

2. Wolf S., Tauber R.N. Silicon Processing for the VLSI Era. Volume 1. Process Technology. New York: Lattice Press. 2000. 416 p.

3. Advanced plasma processing technology. New York: John Wiley & Sons Inc. 2008. 479 p.

4. Donnelly V. M., Kornblit A. Plasma etching: Yesterday, today, and tomorrow. J. Vac. Sci. Technol. 2013. V. 31. P. 050825-48. DOI: 10.1116/1.4819316.

5. Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S., Dalton T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogen-ated silicon carbide. J. Vac. Sci. Technol. A. 2004. V. 22. P. 53-60. DOI: 10.1116/1.1626642.

6. Matsui M., Tatsumi T., Sekine M. Relationship of etch reaction and reactive species flux in C4F8/Ar/O2 plasma for SiO2 selective etching over Si and Si3N4. J. Vac. Sci. Technol. A. 2001. V. 19. P. 2089-2096. DOI: 10.1116/1.1376709.

7. Kastenmeier B.E.E., Matsuo P.J., Oehrlein G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A. 1999. V. 17. P. 3179-3184. DOI: 10.1116/1.582097.

8. Chen L., Xu L., Li D., Lin B. Mechanism of selective Si3N4 etching over SiO2 in hydrogen-containing fluorocarbon plasma. Microelectron. Eng. 2009. V. 86. P. 2354-2357. DOI: 10.1016/j.mee.2009.04.016.

9. Schaepkens M., Standaert T.E.F.M., Rueger N.R., Sebel P.G.M., Oehrlein G.S., Cook J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism. J. Vac. Sci. Technol. A. 1999. V. 17. P. 26-37. DOI: 10.1116/1.582108.

10. Son J., Efremov A., Chun I, Yeom G.Y., Kwon K.-H On the LPCVD-Formed SiO2 Etching Mechanism in CF4/Ar/O2 Inductively Coupled Plasmas: Effects of Gas Mixing Ratios and Gas Pressure. Plasma Chem. Plasma Proc. 2014. V. 34. P. 239-257. DOI: 10.1007/s11090-013-9513-1.

11. Handbook of Chemistry and Physics. New York: CRC Press. 2014. 2704 p.

12. Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. New York: John Wiley & Sons Inc. 2005. 757 p.

13. Kimura T., Noto M. Experimental study and global model of inductively coupled CF4/O2 discharges. J. Appl. Phys. 2006. V. 100. P. 063303 (1-8). DOI: 10.1063/1.2345461.

14. Li X., Ling L., Hua X., Fukasawa M., Oehrlein G.S., Barela M., Anderson H.M. Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas. J. Vac. Sci. Technol. A. 2003. V. 21. P. 284293. DOI: 10.1116/1.1531140.

15. Shankaran A., Kushner M.J. Etching of porous and solid SiO2 in Ar/c-C4F8, O2/c-C4F8 and Ar/O2/c-C4F8 plasmas. J. Appl. Phys. 2005. V. 97. P. 023307 (1-10). DOI: 10.1063/1.1834979.

16. Shun'ko E.V. Langmuir probe in theory and practice. Boca Raton: Universal Publishers. 2008. 245 p.

17. Chun I., Efremov A., Yeom G.Y., Kwon K.-H A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications. Thin Solid Films. 2015. V. 579. P. 136-148. DOI: 10.1016/j.tsf2015.02.060.

18. Lee J., Efremov A., Yeom G. Y., Lim N., Kwon K.-H Application of Si and SiO2 etching mechanisms in CF4/C4F8/Ar inductively coupled plasmas for nanoscale patterns. J. Nanosci. Nanotechnol. 2015. V. 15. P. 8340-8347. DOI: 10.1166/jnn.2015.11256.

19. Rauf S., Ventzek P.L.G. Model for an inductively coupled Ar/c-C4F8 plasma discharge. J. Vac. Sci. Technol. A. 2002. V. 20. P. 1423. DOI: 10.1116/1.1417538.

20. Kokkoris G., Goodyear A., Cooke M., Gogolides E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics. J. Phys. D: Appl. Phys. 2008. V. 41. P. 195211 (112). DOI: 10.1088/0022-3727/41/19/195211.

21. Vasenkov A.V., Li X., Oehrlein G.S., Kushner M.J. Properties of c-C4F8 inductively coupled plasmas. II. Plasma chemistry and reaction mechanism for modeling of Ar/c-C4F8/O2 discharges. J. Vac. Sci. Technol. A. 2004. V.22. P. 511-529. DOI: 10.1116/1.1697483.

22. Jin W., Vitale S.A., Sawin H.H Plasma-surface kinetics and simulation of feature profile evolution in Cl2+HBr etching of polysilicon. J. Vac. Sci. Technol. A. 2002. V. 20. P. 2106-2114. DOI: 10.1116/1.1517993.

23. Gray D.C., Tepermeister L, Sawin H.H Phenomenologi-cal modeling of ion-enhanced surface kinetics in fluorine-based plasma-etching. J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243-1257. DOI: 10.1116/1.586925.

24. Li X., Hua X., Ling L., Oehrlein G.S., Barela M., Anderson H.M. Fluorocarbon-based plasma etching of SiO2: Comparison of C4F6/Ar and C4F8/Ar discharges. J. Vac. Sci. Technol. A. 2002. V. 20 P. 2052-2061. DOI: 10.1116/1.1517256.

Поступила в редакцию (Received) 21.11.2019 Принята к опубликованию (Accepted) 20.04.2020

i Надоели баннеры? Вы всегда можете отключить рекламу.