Научная статья на тему 'ОСОБЕННОСТИ КОНТРОЛЯ ТРЕХМЕРНОГО ПРОФИЛЯ ЭЛЕМЕНТОВ И СТРУКТУР НАНОРАЗМЕРНЫХ ИС. ОБЗОР'

ОСОБЕННОСТИ КОНТРОЛЯ ТРЕХМЕРНОГО ПРОФИЛЯ ЭЛЕМЕНТОВ И СТРУКТУР НАНОРАЗМЕРНЫХ ИС. ОБЗОР Текст научной статьи по специальности «Нанотехнологии»

CC BY
108
33
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
КОНТРОЛЬ ТЕХНОЛОГИЧЕСКИХ ПРОЦЕССОВ / РАСТРОВАЯ ЭЛЕКТРОННАЯ МИКРОСКОПИЯ / ПРОСВЕЧИВАЮЩАЯ ЭЛЕКТРОННАЯ МИКРОСКОПИЯ / АТОМНО-СИЛОВАЯ МИКРОСКОПИЯ / СКАТТЕРОМЕТРИЯ / КРИТИЧЕСКИЕ РАЗМЕРЫ

Аннотация научной статьи по нанотехнологиям, автор научной работы — Нелюбин Илья Вадимович, Путря Михаил Георгиевич

С переходом в область критических размеров менее 100 нм двумерные (планарные) формы структур элементов, изготавливаемых в процессе технологического цикла, изменяются на трехмерные сложные формы. Это различные затворные структуры МОП-транзисторов, оксидные и нитридные маски для фотолитографии, транзисторы с «плавниковым» затвором (FinFET), транзисторы с опоясывающим затвором (GAA-FET) и др. Контроль технологических процессов, помимо требований к критическим размерам элементов в плоскости, включает в себя также требования к прецизионности измерений полного профиля. Несмотря на широкое разнообразие разработанных в настоящее время методов измерений линейных размеров и профиля поверхности, не все из них можно использовать для контроля трехмерного профиля изготавливаемых структур. В работе на основе анализа литературных данных показаны особенности широко используемых в настоящее время методов измерений линейных размеров и элементов топологии, выявлены проблемы их применения для контроля трехмерного профиля. Рассмотрены перспективные методики контроля, внедряемые в настоящее время при производстве ИС.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по нанотехнологиям , автор научной работы — Нелюбин Илья Вадимович, Путря Михаил Георгиевич

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

FEATURES OF THREE-DIMENSIONAL PROFILE CONTROL OF ELEMENTS AND STRUCTURES OF NANOSCALED ICS. REVIEW

With the IC elements transition to critical size region of less than 100 nm, two-dimensional shapes of element structures manufactured during fabrication cycle are changed to three-dimensional complex shapes. These are different gate structures of MOS transistors, oxide and nitride photolithography masks, “fin” gate transistors (FinFET), gate-all-around transistors (GAAFET) and others. Process validation, besides requirements to critical sizes of elements in the two-dimensional plane, also includes requirements to precision of full profile measurements. Despite the wide variety of currently developed linear dimensions and surface profile measurement techniques, not all of them can be applied to three-dimensional profile control of fabricated structures. In this work, based on literature data analysis the features of widely used techniques for measurement of linear sizes and topology elements are displayed, and the difficulties in employing them to control a three-dimensional profile are elicited. The promising measurement techniques currently being introduced in the IC fabrication have been considered.

Текст научной работы на тему «ОСОБЕННОСТИ КОНТРОЛЯ ТРЕХМЕРНОГО ПРОФИЛЯ ЭЛЕМЕНТОВ И СТРУКТУР НАНОРАЗМЕРНЫХ ИС. ОБЗОР»

Обзорная статья УДК 53.082.55:620.187(048.8) doi:10.24151/1561-5405-2023-28-3-298-325 EDN: UXRFJC

Особенности контроля трехмерного профиля элементов и структур наноразмерных ИС. Обзор

И. В. Нелюбин, М. Г. Путря

Национальный исследовательский университет «МИЭТ», г. Москва, Россия

[email protected]

Аннотация. С переходом в область критических размеров менее 100 нм двумерные (планарные) формы структур элементов, изготавливаемых в процессе технологического цикла, изменяются на трехмерные сложные формы. Это различные затворные структуры МОП-транзисторов, оксидные и нитридные маски для фотолитографии, транзисторы с «плавниковым» затвором (FinFET), транзисторы с опоясывающим затвором (GAA-FET) и др. Контроль технологических процессов, помимо требований к критическим размерам элементов в плоскости, включает в себя также требования к прецизионности измерений полного профиля. Несмотря на широкое разнообразие разработанных в настоящее время методов измерений линейных размеров и профиля поверхности, не все из них можно использовать для контроля трехмерного профиля изготавливаемых структур. В работе на основе анализа литературных данных показаны особенности широко используемых в настоящее время методов измерений линейных размеров и элементов топологии, выявлены проблемы их применения для контроля трехмерного профиля. Рассмотрены перспективные методики контроля, внедряемые в настоящее время при производстве ИС.

Ключевые слова: контроль технологических процессов, растровая электронная микроскопия, просвечивающая электронная микроскопия, атомно-силовая микроскопия, скаттерометрия, критические размеры

Для цитирования: Нелюбин И. В., Путря М. Г. Особенности контроля трехмерного профиля элементов и структур наноразмерных ИС. Обзор // Изв. вузов. Электроника. 2023. Т. 28. № 3. С. 298-325. https://doi.org/10.24151/1561-5405-2023-28-3-298-325. - EDN: UXRFJC.

© И. В. Нелюбин, М. Г. Путря, 2023

Review article

Features of three-dimensional profile control of elements and structures of nanoscaled ICs. Review

I. V. Nelyubin, M. G. Putrya

National Research University of Electronic Technology, Moscow, Russia [email protected]

Abstract. With the IC elements transition to critical size region of less than 100 nm, two-dimensional shapes of element structures manufactured during fabrication cycle are changed to three-dimensional complex shapes. These are different gate structures of MOS transistors, oxide and nitride photolithography masks, "fin" gate transistors (FinFET), gate-all-around transistors (GAAFET) and others. Process validation, besides requirements to critical sizes of elements in the two-dimensional plane, also includes requirements to precision of full profile measurements. Despite the wide variety of currently developed linear dimensions and surface profile measurement techniques, not all of them can be applied to three-dimensional profile control of fabricated structures. In this work, based on literature data analysis the features of widely used techniques for measurement of linear sizes and topology elements are displayed, and the difficulties in employing them to control a three-dimensional profile are elicited. The promising measurement techniques currently being introduced in the IC fabrication have been considered.

Keywords: process control, scanning electron microscopy, SEM, transmission electron microscopy, TEM, atomic force microscopy, AFM, scatterometry, critical dimensions

For citation: Nelyubin I. V., Putrya M. G. Features of three-dimensional profile control of elements and structures of nanoscaled ICs. Review. Proc. Univ. Electronics, 2023, vol. 28, no. 3, pp. 298-325. https://doi.org/ 10.24151/1561-5405-2023-28-3-298-325. -EDN: UXRFJC.

Введение. Уменьшение линейных размеров элементов ИС ведет к одновременному увеличению степени интеграции, росту быстродействия и производительности, а также к снижению потребляемой мощности ИС. В настоящее время размеры элементов, изготавливаемых на кремниевых подложках, составляют менее 10 нм. При этом также происходят изменения в конструкции полупроводниковых приборах. Некоторые двумерные (планарные) элементы и структуры в процессе изготовления изменяются на трехмерные (объемные), например затворы FinFET-транзисторов имеют четко выраженную трехмерную форму [1]. Примеры трехмерных элементов и структур, изготавливаемых в технологических процессах микроэлектроники, приведены на рис. 1. Отметим, что в данном случае обозначение «трехмерный» применимо именно в конструктивном смысле, т. е. когда вертикальные размеры структуры сопоставимы с размерами по горизонтали, поскольку физически все структуры планарной технологии также являются трехмерными.

Рис. 1. Элементы и структуры микро- и нано-электроники, имеющие трехмерную форму: a - FinFET-транзистор [1]; б - МОП-транзистор с двойным пристенком (спейсером) [3]; в - ячейка памяти 3D-NAND после STI-травления с высоким

аспектным отношением [4] Fig. 1. Micro- and nanoelectronics elements and structures having three-dimensional shape: a - FinFET structure [1]; b - double spacers MOS-transistor [3]; c - 3D-NAND memory cell after STI etching with a high aspect ratio [4]

Для обеспечения эффективного развития, сопровождения технологии и высокого процента выхода годных изделий в первую очередь должны быть реализованы подходящие метрологические, или измерительные, системы контроля технологических процессов [2]. При производстве ИС все средства контроля включены в систему межоперационного контроля технологических процессов, который подразумевает организацию контрольных измерений на всех этапах технологического маршрута (после каждой проведенной технологической операции) и направлен на выявление дефектов, ошибок проектирования, отклонений линейных размеров и прочих несовершенств. С точки зрения организации процесса контроля измерительные методики должны отвечать следующими требованиям:

- высокая точность и воспроизводимость результата измерений;

- высокая степень достоверности результата;

- высокая производительность;

- оперативность получения результата, автоматизация процессов измерений и обработки полученных результатов;

- неразрушающий характер воздействия на объект измерения [5].

Наиболее важными параметрами, которые необходимо контролировать в процессе изготовления элементов ИС, являются их линейные, или критические, размеры (Critical Dimensions, CD). Размеры структур, в том числе в вертикальной плоскости, в значительной степени связаны с надежностью и отказоустойчивостью ИС. Например, в КМОП-технологии уровня 130 нм аспектное отношение составляет больше единицы. При такой структуре затвора существенное значение имеет угол наклона стенки затвора. Если в технологическом процессе наклон боковой стенки изменился на единицу, это может вызвать изменения наблюдаемых критических размеров (при измерениях по низу и верху) более чем на 10 %. Такое изменение может выходить за рамки допустимых отклонений процесса и, соответственно, приводить к снижению выхода годных изделий, поэтому его нужно контролировать. Необходимо корректно определять, на каком

положении по высоте происходит операция контроля критического размера: по верху, низу или середине, т. е. необходимо проводить измерения трехмерного профиля исследуемой структуры [6]. К параметрам полного профиля структуры обычно относят латеральные размеры (по верху, низу и середине), толщину (высоту), углы наклона боковых стенок, закругления углов (рис. 2), а также шероховатости линии по ширине (Line Width Roughness, LWR) и шероховатости по краю (Line Edge Roughness, LER).

Для контроля линейных размеров в полупроводниковой промышленности в течение длительного времени успешно использовали методы оптических измерений на основе анализа изображений, в частности методы оптической микроскопии, конфокальной сканирующей микроскопии и т. д. Эти методы характеризуются высоким быстродействием и неразрушающим воздействием на образец. В процессе развития технологий микроэлектроники и масштабирования размеров топологических элементов стали использовать методы растровой электронной микроскопии, поскольку оптическая микроскопия не могла обеспечить требуемую разрешающую способность. Растровый электронный микроскоп (РЭМ) применяется в основном для контроля планарных структур (вид сверху). Для измерений вертикальных размеров используются методы растровой электронной микроскопии поперечного сечения и просвечивающей электронной микроскопии, для которых необходимо готовить поперечное сечение образца (скол), что является разрушающим методом подготовки [8]. В связи с этим контроль вертикальных размеров характеризуется малым объемом выборки. Еще одним методом измерения вертикальных размеров, а также шероховатости границ раздела является атомно-силовая микроскопия, которая отличается более низким быстродействием (по сравнению с растровой электронной микроскопией), а также низкой воспроизводимостью полученных результатов, поскольку они характеризуются зависимостью от геометрических параметров кантилевера, изменяемых со временем. Несмотря на то что данные методики разработаны достаточно давно и для каждой из них существует коммерчески доступное высокоточное оборудование (контроль вплоть до атомарного уровня), они не всегда пригодны для использования в качестве систем контроля трехмерных профилей структур в процессе производственного цикла.

В настоящей работе рассматриваются особенности применения широко используемых на сегодняшний день методов измерения линейных размеров, таких как растровая и просвечивающая электронная микроскопия, атомно-силовая микроскопия, а также проблемы их применения при измерениях трехмерных профилей некоторых структур. Представлены применяемые перспективные методики контроля элементов и структур наноразмерных ИС.

КР по верху

Рис. 2. Типичная структура элемента линии фо-торезистивной маски и ее геометрические параметры: КР - критический размер (в данном случае ширина линии); «футинг» - закругление угла по низу; «раундинг» - закругление угла по верху [7]

Fig. 2. Typical structure of a line photoresistive mask element and its geometric sizes: КР - critical dimensions (the line width in this case); "footing" and "rounding" - curvature of the bottom and top corner respectively [7]

Электронная микроскопия. Классическим методом контроля латеральных размеров элементов топологии ИС является электронная микроскопия в ее различных вариациях (сканирующая, просвечивающая). Для контроля критических размеров объектов микроэлектроники используется РЭМ, так как имеет большую глубину фокусировки (около 100 мкм), большой диапазон увеличений (более 100 000), высокую разрешающую способность и разные режимы работы [9]. Изображение образца создается путем сканирования поверхности сфокусированным пучком электронов, при котором он облучает каждую точку образца и последовательно перемещается по его поверхности. Взаимодействие электронов с поверхностью исследуемого образца приводит к возникновению различных ответных сигналов: оже-электронов, отраженных и вторичных электронов, рентгеновского излучения и др. Эти сигналы регистрируются детекторами и дают информацию о топографии поверхности и составе образца. Формирование электронного пучка должно происходить в вакууме, так как иначе пучок электронов будет рассеиваться на молекулах воздуха и не долетит до образца.

В технологическом цикле производства РЭМ используется в основном для контроля результатов проведения процессов фотолитографии и травления. Как правило, для этого применяются специальные автоматизированные измерительные микроскопы, называемые CD-SEM (Critical Dimension Scanning Electron Microscopy). Сигнал, используемый для построения изображения в CD-SEM, формируется в основном за счет об-ратноотраженных и вторичных электронов. Вторичные электроны имеют относительно низкую энергию (менее 50 мэВ), в то время как обратноотраженные - относительно высокую (более 50 мэВ). Вторичные электроны несут информацию о топологии поверхности, а отраженные - о химическом составе и морфологии. Разрешение типичного микроскопа CD-SEM составляет 1-2 нм [10].

Главное преимущество использования CD-SEM заключается в том, что это прямой метод измерения и проводить анализ довольно легко, когда сформировано и сохранено изображение. Однако этот метод не удовлетворяет требованиям для проведения трехмерных измерений, в частности нельзя измерить затрав под маску или высоту канавки. Техника наклона электронного луча может позволить проводить вертикальные измерения, но точность при таких измерениях может снизиться [10]. Кроме того, взаимодействие электронов пучка с некоторыми материалами на кремниевой подложке может приводить к повреждениям этих материалов. Особенно чувствительны к такому воздействию фоторезисты, для контроля которых требуется избегать повторных измерений [10].

Рассмотрим некоторые особенности измерений линейных размеров фоторезистив-ных масок. Известно, что в каждом новом поколении процессов фотолитографии создаются и применяются новые фоторезисты. С началом использования KrF- и ArF-литографии с длиной волны экспонирования 248 и 193 нм соответственно стали применяться химически усиленные фоторезисты. Использование таких фоторезистов проблематично для измерений с помощью РЭМ из-за эффекта «сгорания» фоторезиста, заключающегося в уменьшении ширины линии фоторезиста после ее экспозиции электронным пучком [11]. Данный эффект показан на РЭМ-изображениях на рис. 3. На рис. 4 показана типичная зависимость, отражающая изменение критического размера фоторезиста от количества измерений методом РЭМ.

Рис. 3. РЭМ-изображения линии фоторезиста (ФР) после травления до измерения РЭМ (слева)

и после (справа) [12]

Fig. 3. SEM images of the etching photoresist (ФР) line before SEM measurements (left) and after (right) [12] KP

Рис. 4. Зависимость уменьшения критического размера линии ArF-резиста от количества измерений методом РЭМ, отражающая эффект сгорания

фоторезиста [11]

Fig. 4. Dependence of the decrease in the line size of ArFresist on the number of measurements, showing the photoresist shrinkage effect [11]

В работе [13] эффект сгорания фоторезистов изучен более детально. Авторы исследовали изменения, происходящие при многократных CD-SEM-измерениях параметров элементов фоторезистивной маски, используемых в фотолитографических процессах производства устройств с суб-100-нм размерами (позитивные химически усиленные ре-зисты для ArF-литографии). При этом выбраны три резиста: гибридный сополимер ме-такрилата циклических олефинов и малеинового ангидрида, сополимер метакрилата и терполимер метакрилата. Исследуемые образцы - это линии проявленного фоторезиста шириной 130 нм и пробелами между линиями такой же ширины. Параметры электронного микроскопа оставались неизменными для измерений всех групп образцов во время исследования: ускоряющее напряжение 800 В, ток пучка 8 пА, увеличение 150 000х. На рис. 5 показано сужение ширины линий ArF-резиста при CD-SEM-измерениях. Видно, что при повторных измерениях критический размер значительно уменьшается, вплоть до значений 12-14 нм (до 10 % от исходного значения). Для технологий уровня 90 нм и менее такие изменения критических размеров могут оказаться недопустимыми.

4 6 8 10 12 14 16 18 Measurement Number б

Рис. 5. Зависимости изменений (delta CD) от количества проведенных измерений (Measurement Number) для 9 измеряемых точек: а - гибридный сополимер метакрилата циклических олефинов и малеиновый ангидрид; б - сополимер метакрилата; в - терполимер метакрилата. Стрелкой указана точка излома (около 13 CD-SEM-измерений), при которой меняется характер зависимости [13] Fig. 5. Dependencies of the linewidth difference (delta CD) on the number of measurements and the vertical for 9 measured points for: a - hybrid copolymer of methacrylate of cyclic olefins and maleic anhydride; b - methacrylate copolymer; c - methacrylate terpolymer. The arrow indicates the breakpoint of the dependence (about 13 CD-SEM measurements) when the character of the curve changes [13]

Механизм сгорания фоторезиста авторы работы [3] объясняют разложением групп -COOH в составе цепочки полимера фоторезиста, а также выделением молекул газа CO2 в результате взаимодействия электронов с фоторезистом. Предлагается несколько способов минимизации данного эффекта: уменьшение степени воздействия (экспозиции) электронного пучка на образец с помощью уменьшения ускоряющего напряжения или тока пучка, использования систем стабилизации электронного пучка и др. В настоящее время предложено несколько механизмов, объясняющих данный эффект [11-13]. Некоторые авторы сообщают о значительной минимизации сгорания фоторезиста при использовании РЭМ с ультранизким ускоряющим напряжением, при котором энергия электронов падающего пучка составляет 100-200 эВ [14-16]. Также известно, что зарядка образца играет важную роль для РЭМ-измерений, особенно если на пластине присутствуют диэлектрические слои. Зарядка имеет динамический характер (величина заряда меняется со временем) и вносит ошибку в результаты измерений критических размеров [17]. Обычно зарядку образцов можно наблюдать по изменению контраста на изображениях РЭМ.

РЭМ используется для контроля латеральных размеров при «виде сверху». Для измерений структур под углом или сбоку необходимо проводить дополнительную подготовку образца (скол) и использовать РЭМ поперечного сечения. Использование данной методики требует разрушения образца. В работе [18] авторы сообщают о разработке технологии специальной конструкции РЭМ для трехмерных измерений - технологии мультиканального детектирования. В конфигурацию электронной колонны такого РЭМ (рис. 6) добавлены дополнительные детекторы вторичных электронов (Multi Vision

Metrology). Такая система позволяет быстро и неразрушающим образом получить информацию о выпуклых и вогнутых поверхностях наноразмерных структур, таких как, например, затвор FinFET-транзистора. Результаты измерений, в том числе угла наклона боковых стенок, хорошо коррелируют с данными атомно-силового микроскопа (АСМ).

Существует также направление исследований с применением РЭМ с системой поворота электронного пучка на определенный угол (tilt CD-SEM). В таких системах возможен наклон луча за счет магнитной отклоняющей системы электронной колонны. При косом падении пучка на боковую стенку структуры будет падать больше электронов, чем при прямом падении, это увеличит количество выходящих вторичных электронов, что, в свою очередь, увеличит сигнал на детекторе и даст больше полезной информации (рис. 7). Таким образом можно точнее определять шероховатость вертикальных стенок.

Cathode Ç

Image В

Image А

ОБ

Detector В

Detector С

Detector А

Detector D

Image С

Image D

Л I Г

Рис. 6. Конфигурация электронной колонны РЭМ с четырьмя детекторами вторичных электронов (а); карты интенсивности вторичных электронов для каждого из детекторов (б);

SD-изображение структуры (в) [18] Fig. 6. SEM electron column configuration with four secondary electron's detectors (a); secondary electron's intensity maps for each of the detectors (b);

3D image of the structure (c) [18]

а б

Рис. 7. РЭМ-изображения линий ArF-фоторезиста: а - без наклона (вид сверху); б - с наклоном электронного луча на 6° [19] Fig. 7. SEM images of the ArF resist lines: a - without tilt (top view); b - with an electron beam tilt by 6 degrees [19]

В работе [20] авторы сообщают о разработке методики реконструкции полного профиля структуры с помощью tilt CD-SEM. Для этого используется РЭМ с возможностью отклонения луча на максимальный угол, равный 15°. С двух разных углов наклона луча (3 и 15°) проводится реконструкция боковых поверхностей линий фоторезиста. Показано, что данная методика позволяет значительно сократить временные затраты на поиск и устранение проблем технологических процессов на этапе разработки процессов. Скола образца в данном случае не требуется.

Таким образом, растровая электронная микроскопия имеет ряд специфических недостатков и ограничений, которые особенно проявляются в нанометровом диапазоне измерений [9]:

- сложность получения трехмерных изображений поверхности, обусловленная тем, что высота рельефа в РЭМ определяется по эффективности упругого и неупругого рассеяния электронов и зависит от глубины проникновения первичных электронов в приповерхностные слои;

- возможность повреждения изучаемых образцов высокоэнергетичным сфокусированным электронным пучком;

- необходимость осаждения дополнительных токоснимающих слоев на диэлектрические поверхности для предотвращения эффекта накопления заряда;

- необходимость создания условий высокого вакуума для проведения измерений.

Следует отметить также высокую стоимость оборудования для РЭМ. Дорогостоящими и сложными также являются обслуживание и ремонт установок, в том числе промышленных. Производительность операций контроля промышленных CD-SEM таких производителей, как Hitachi, KLA-Tencor, составляет 40-65 пластин в час [21, 22] при измерении 6-10 точек на пластину.

Просвечивающая электронная микроскопия. Метод просвечивающей электронной микроскопии отличается от метода растровой электронной микроскопии тем, что электронный пучок просвечивает образец насквозь, а неравномерное поглощение электронов разными участками или материалами образца формирует двумерную картину

распределения плотности прошедшего потока электронов. В основном в полупроводниковой промышленности используются просвечивающие электронные микроскопы (ПЭМ) высокого разрешения и ПЭМ с высокоугловым кольцевым темнопольным детектором (рис. 8). Изображение в высокоразрешающем ПЭМ формируется интерференционными картинами от дифрагированных и прошедших электронов от падающего пучка, освещающего исследуемую область образца. В ПЭМ с высокоугловым кольцевым детектором сфокусированный электронный пучок сканирует область исследования поточечно. Рассеянные от атомов образца электроны обнаруживаются кольцевым детектором, интенсивность излучения на котором либо пропорциональна резерфордовскому сечению рассеяния, либо монотонна в соответствии с атомным номером, что наблюдается реже [23].

Рис. 8. Схематические изображения ПЭМ: а - высокоразрешающего; б - с высокоугловым кольцевым

темнопольным детектором [23] Fig. 8. Schematic TEM representation: a - high resolution; b - with high-angle annular darkfield detector [23]

Разрешение современных ПЭМ может достигать 0,05 нм [23], и такого разрешения достаточно для исследования дву- и трехмерных структур, в том числе на атомарном уровне, для анализа поверхности и т. д. (рис. 9). Полное поперечное сечение транзисторов с опоясывающим затвором - GAA-транзисторов - с высоким разрешением также может быть получено с помощью ПЭМ.

а б

Рис. 9. Изображения, полученные с помощью высокоразрешающего ПЭМ: а - двумерная структура графена; б - поперечное сечение GAA-транзисторов [23] Fig. 9. Images obtained by high resolution TEM: a - two-dimensional structure of graphene; b - cross-section of GAA transistors [23]

Для суб- 10-нм технологий разрешение РЭМ оказалось недостаточным, что привело к созданию установок CD-TEM (Critical Dimensions Transient Electron Microscopy) и CD-AFM (Critical Dimensions Atomic-Force Microscopy), несмотря на их низкую скорость работы. В таких установках возможна комбинация применения ПЭМ и техники фокусированного ионного пучка, которая позволяет проводить контроль размеров ультратонких образцов в дву- и трехмерной плоскостях [23].

В настоящее время метод просвечивающей электронной микроскопии признается «золотым стандартом» эталонных измерений и точности получаемых результатов. Однако длительный цикл подготовки образца к исследованию и низкая пропускная способность отрицательно характеризуют данный метод для приложений контроля производственных процессов [24].

Атомно-силовая микроскопия. Метод атомно-силовой микроскопии поверхности был предложен в 1982 г. [25] и описан на основе эффекта ван-дер-ваальсового взаимодействия близко расположенных твердых тел. Типичная схема устройства атомно-силового микроскопа представлена на рис. 10. Ключевым элементом любого атомно-силового микроскопа является кантилевер, на конце которого находится острие с радиусом несколько нанометров. Пьезоэлектрический элемент приводит в движение кантилевер и подводит его к поверхности образца на расстояние 0,1-10 нм. На таком расстоянии между острием кантилевера и поверхностью возникает межатомное (межмолекулярное) взаимодействие. Пока острие кантилевера остается вблизи поверхности, а образец перемещается (сканируется) в плоскости X-Y, при изменении рельефа поверхности образца будет меняться отклонение кантилевера. Все эти отклонения регистрируются емкостными или оптическими (фотодиодами) датчиками и преобразуют их в электрические сигналы. Отклонение по высоте регулируется системой обратной связи манипулятора (пьезосканера) различным образом в зависимости от выбранного режима работы микроскопа - режима постоянной силы или постоянной высоты. Регистрация изменения положения кантилевера по трем координатам позволяет получить трехмерное изображение поверхности. В отличие от РЭМ АСМ позволяет проводить измерения не только в вакууме, но и в других условиях (атмосферный воздух, жидкости).

Четырехквадрантный

Лазер

Система г Г обратной связи _j_ /

Рис. 10. Схема устройства атомно-силового микроскопа [26] Fig. 10. Scheme of the atomic-force microscope [26]

Основное применение АСМ - измерение высоты структур (толщины), в частности высоты ступенек, высоты перепадов толщины после химико-механической полировки, глубины канавок и т. д. [27]. Также АСМ широко используется для измерений шероховатости поверхностей различных осажденных слоев. Пространственное разрешение в АСМ определяется диаметром зонда, его формой, отношением длины к ширине и амплитудой колебаний при полуконтактном режиме сканирования [28]. На рис. 11 отражены возможные артефакты построения профиля исследуемой структуры для зондов с разными формами и аспектным отношением.

а б

Рис. 11. Отображение особенностей АСМ-измерений различных структур: а - ступенек; б - канавок Fig. 11. Features of the AFM measurements for different pieces: a - steps; b - tranches

Отметим, что до недавнего времени существовали особые требования к высоте исследуемых элементов (не более 100 нм), а также к расстоянию до соседнего элемента (не ближе чем 100 нм). Эти требования существенно ограничивали возможности применения АСМ для исследования структур современных ИС, высота которых может составлять от 0,1 до 1 мкм и более [9]. Таких ограничений возможно избежать с помощью специально разработанных зондов для АСМ, позволяющих проводить измерения структур глубиной более 1 мкм и снижающих «мертвую зону» в острых углах. Эти зонды имеют наконечники в виде вискеров [29-31], углеродных нанотрубок [32] и др. Визуализация дна глубокой канавки (~ 1,5 мкм), полученная с помощью АСМ с зондом с нановискером из ZnO, показана на рис. 12. Радиус кривизны таких зондов составляет менее 10 нм, угол конуса 1-2°, длина острия 5-30 мкм [30].

В последнее время АСМ применяется для измерений и визуализации боковых стенок. Это одно из самых сложных приложений для АСМ. Так же как шероховатость поверхности тонких пленок, шероховатость боковой стенки становится важной для функциональности и корректной работы наноразмерных устройств. За последние несколько лет АСМ стал мощным инструментом для точного измерения критических размеров. Однако, поскольку большинство атомно-силовых микроскопов работают в режиме измерения сверху вниз, они имеют ограниченный доступ к боковой стенке, что особенно проявляется при измерении структур с углом стенки 90° и более. Чтобы преодолеть это ограничение, разработаны специальные зонды воронкообразной формы или в форме ступни (boot shaped tips) (рис. 13) [33].

Рис. 12. СЭМ-изображение кантилевера с ZnO-вискером (а) [30]; АСМ-профиль канавки SiO2 глубиной 1,5 мкм, полученный с зондом пирамидальной формы из Si3N4 (б) и зондом

с ZnO-вискером (в) [31] Fig. 12. SEM images of a cantilever with a ZnO whisker (a) [30]; AFM profile of a SiO2 tranche 1.5 um deep obtained with Si3N4 pyramidal probe (b) and ZnO whisker probe (c) [31]

Воронкообразные зонды используются в CD-AFM-установках, адаптированных под измерения критических размеров трехмерных структур в производственных процессах. Данные микроскопы дают возможность всесторонне исследовать форму и размеры трехмерных объектов с высокой достоверностью и являются универсальными метрологическими инструментами. Широкое распространение таких приборов сдерживается в основном сложностью эксплуатации, низкой производительностью, а также высокой стоимостью операции измерения [27].

В работе [33] продемонстрированы результаты измерений параметров микро- и наноструктур с использованием специально разработанного 3D-ACM, работа которого основана на использовании двух независимых сканирующих систем (XY-сканер и Z-сканер). XY-сканер перемещает образец только в плоскости X-Y и не зависит от направления оси Z. Z-сканер приводится в действие отдельным пьезоэлементом, который перемещает зонд только в направлении Z. Такой сканер позволяет намеренно наклонять зонд для облегчения доступа к боковой стенке. При этом возможны измерения в верхней, средней и нижней частях структуры, а также измерения шероховатости вдоль боковой стенки линии. На рис. 14 представлены результаты измерения линий фоторезиста (с шириной линий и пробелом между ними 165 нм) с помощью РЭМ поперечного сечения и АСМ.

Рис. 13. Форма и параметры воронкообразного АСМ-зонда для контроля элементов с острым

углом боковой стенки [28] Fig. 13. The shape and parameters of a boot-shaped AFM probe for acute angle of element sidewall control [28]

Рис. 14. Результаты измерения структуры линий фоторезиста: а - РЭМ-изображение поперечного сечения; б - 3D-АСМ-изображение; в - АСМ-профиль сечения [33] Fig. 14. The measurement results of a photoresist line: a - cross-sectioned SEM image; b - 3D-AFM image;

c - AFM profile of the cross-section [33]

АСМ с наклоном зонда от нормали к поверхности образца еще один подход к трехмерным измерениям структур [7, 34]. С помощью этого метода образец измеряется в разных проекциях, в которых зонд наклонен по отношению к образцу под разными углами (рис. 15). Таким образом, боковые стенки с крутым углом наклона могут быть измерены традиционным зондом конической или пирамидальной формы. Путем сшивки полученных под разными углами наклона изображений можно определить реальную форму исследуемого элемента [34]. Проблема данного метода заключается в том, что точность сшивки изображений напрямую влияет на прецизионность, производительность и оперативность получения готового результата.

Применение АСМ для контроля критических размеров, в том числе вертикальных структур, в производственном цикле существенно ограничено. Во-первых, метод хорошо подходит для сканирования небольших образцов (до 3 х 3 см) и редко предназначен для сканирования кремниевых пластин. Однако известны промышленные решения, например модель АСМ Vega (ООО «НТ-МДТ», г. Москва). Таким образом, для исследования, так же как и в случае РЭМ поперечного сечения, предполагается разрушающая подготовка образца. Во-вторых, производительность АСМ существенно ниже, чем у РЭМ. И, в-третьих, метод имеет низкую воспроизводимость при контроле профилей вследствие быстрого изнашивания зондов.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

б

Рис. 15. Измерение профиля структуры с помощью АСМ с наклоном: а - сканирование при разных

углах наклона зонда; б - сшивка полученных результатов в единое 3D-изображение [34] Fig. 15. Measurement of the structure's profile using tilt AFM: a - scanning at different tilt angles of probe; b - stitching of the obtained results into a single 3D image [34]

Следует отметить, что специализированные зонды для контроля структур с высоким аспектным отношением имеют высокую стоимость (в среднем 1000 евро за один зонд). Производительность типичных установок CD-AFM составляет 12-30 пластин в час [35]. Стоимость оборудования значительно более низкая по сравнению со стоимостью установок CD-SEM.

Оптическая скаттерометрия. Несмотря на то что установки CD-SEM, CD-ACM и ПЭМ предназначены для измерения критических размеров в производстве полупроводниковых приборов и могут измерять наноразмерные элементы, они, как правило, требуют много времени для измерений, характеризуются высокой стоимостью оборудования, а также сложны в эксплуатации и проблематичны в реализации in-line-систем контроля, интегрированных в производственный цикл. Более того, РЭМ поперечного сечения и ПЭМ требуют разрушения образца, что не позволяет обеспечить достаточный объем выборки [36]. В конце 1990-х гг. появилось коммерческое решение, которое смогло удовлетворить многие потребности in-line-систем контроля для массового про-

изводства - метод оптической скаттерометрии, или оптическая метрология критических размеров (Optical Critical Dimensions, OCD). В настоящее время на зарубежных производствах с технологическими нормами менее 90 нм этот метод становится основным инструментом контроля критических размеров и формы трехмерных структур.

Скаттерометрия традиционно известна как метод анализа излучения, рассеянного от различных структур, дефектов и частиц, с целью количественной оценки их размеров, формы, пространственного расположения и т. п. и применяется в радиолокации и метеорологии. В контексте полупроводниковой технологии, несмотря на то же название, метод оптической скаттерометрии - это метод, основанный на дифракции света (Diffraction-Based Metrology), в котором анализируется дифрагированное от периодических структур, изготовленных на кремниевых пластинах, излучение с целью восстановления пространственных профилей этих структур. По сравнению с традиционными методами измерений, основанными на анализе изображений (Image-Based Metrology), которые могут напрямую получать информацию о размерах элементов, не опираясь на конкретные свойства образца, оптическая скаттерометрия является косвенным методом определения критических размеров по измеренному отклику. В данном случае оптический отклик исследуемого образца определяется его оптическими свойствами (коэффициентом преломления, поглощения, элементами матрицы Мюллера и т. д.) [36, 37].

Реализация метода в основном состоит из двух этапов. На первом этапе с помощью нужных измерительных инструментов, например эллипсометрии, определяется оптический отклик периодической структуры. Эллипсометр измеряет изменение поляризации света, отраженного от исследуемого образца, в виде комплексного коэффициента отражения, состоящего из амплитудной составляющей ¥ и разности фаз А. С использованием параметров ¥ и А рассчитываются такие характеристики, как толщина, состав, шероховатость, коэффициенты преломления и отражения и т. д. Второй этап - обратная задача скаттерометрии - представляет собой метод, с помощью которого определяется геометрия исследуемого образца (линейные размеры, толщина и ширина линий, углы наклона боковых стенок и т. д.) на основе измеренного оптического отклика. Для этого используются различные методы моделирования, например метод связанных волн, или RCWA (Rigorous Coupled Wave Analysis) [36]. На рис. 16 представлена общая схема процесса проведения измерений, а на рис. 17 - блок-схема, поясняющая процесс восстановления пространственного профиля исследуемой структуры (через создание библиотеки элементов). Кроме того, решение обратной задачи возможно также и в режиме реального времени на измерительном оборудовании.

В настоящее время разработано множество различных установок скаттерометрии для промышленного использования. Такое оборудование в соответствии с направлением распространения излучения (волнового вектора k) и длины волны источника, или угловой частоты ю, классифицируется по двум категориям: угловые скаттерометры, т. е. рефлектометры с монохроматическим источником излучения и изменяющимся углом падения, и спектральные скаттерометры на основе спектрального эллипсометра с широкополосным источником излучения и неизменным углом падения, или спектофо-тометра. На рис. 18 показаны принципиальные различия данных типов скаттерометров.

Построенная оптико-геометрическая модель образца должна с хорошей точностью отражать реальный объект измерений и учитывать диапазоны изменения параметров структуры. Далее необходимо найти соответствие между моделью и экспериментальными данными. Для этого используются различные численные методы, такие как нелинейная регрессия, библиотечный поиск, алгоритмы оптимизации и др. [36].

Источник излучения

(широкополосный) Призма

Si-подложка

Рис. 16. Структурная схема процесса проведения измерений на основе спектральной эллипсометрии [38] Fig. 16. Block diagram of the measurement process based on spectroscopic ellipsometry [38]

Рис. 17. Схема восстановления пространственного профиля структуры с помощью создания

библиотеки элементов [5, 38] Fig. 17. Scheme for reconstructing spatial profile of structure by element's library creation [5, 38]

Рис. 18. Схематическое изображение устройства скаттерометров: а - на основе рефлектометра; б - на основе спектрального эллипсометра [36] Fig. 18. Schematic representation of scatterometers: a - based on angular reflectometer; b - based on spectroscopic ellipsometry [36]

Измерения с помощью скаттерометрии проводятся внутри периодически повторяющейся топологии на образце, которая представляет собой дифракционную решетку. Для производства ряд образцов с однотипной топологией является типичным случаем. Необходимые размеры решетки определяются размером пятна источника излучения и составляют ~ 50 х 50 мкм (примерный размер пятна эллипсометрии). Контрольную площадку такого размера легко встроить в дорожку скрайбирования между кадрами. Это делает оптическую скаттерометрию пригодной для in-line-систем контроля критических размеров и формы элементов. В то же время геометрические параметры дифракционной решетки отражают параметры технологической операции, при которой она формируется, поскольку решетка и основная топология ИС создаются в едином технологическом цикле.

Оптическая скаттерометрия активно применяется для контроля критических размеров в фотолитографических процессах [39], процессах химико-механической полировки [40] и травления [41]. В работе [41] авторы сообщают о внедрении оптической скат-терометрии в производственный процесс для контроля процессов травления затворов FinFET-транзисторов. Получено хорошее соответствие с результатами измерений критических размеров на установке CD-SEM. Также показана высокая точность (менее 0,1 нм), стабильность и воспроизводимость, оцениваемая как среднеквадратичное отклонение, результатов оптической скаттерометрии.

В работе [42] продемонстрирована возможность применения метода скаттеромет-рии для контроля размеров спейсеров (пристенков) фотомаски, изготовленной по технологии двойного формирования рисунка с самосовмещением (self-alignment dual patterning). Данная технология используется для повышения разрешения проекционной фотолитографии, а формируемая фотомаска представляет собой парные боковые пристенки, пространство между которыми («ядро») может заполняться различными материалами при дальнейшем формировании приборных структур, таких как ячейки памяти, затворные узлы и др. [42]. Также в работе проведено сравнение полученных результатов с данными CD-SEM (рис. 19). Для некоторых критичесих параметров в результатах наблюдается разница (6-12 нм). Авторы объясняют данную разницу тем, что для CD-SEM характерен эффект затенения данных структур, при котором угол наклона боковой стенки влияет на получаемый контраст изображения. Такой эффект может

KP пристенка

—I к-

Скаттсрометрия

Mean: 25.40 3-sig: 1.32

Угол наклона I

«Ядро»

Промежуток между пристенками

ЩЩ ДЩ

Mean: 85.77 3-sig: 1.13

Mean: 34.18 3-sig: 2.18

Mean: 45.03 3-sig: 1.65

CD-SEM

Mean: 35.94 3-sig: 1.85

Mean: 28.74 3-sig: 4.27

Mean: 32.88 3-sig: 2.31

Рис. 19. Сравнение результатов измерений критических размеров спейсеров (пристенков) фотомаски в виде среднего значения (mean) и трех среднеквадратичных отклонений (3-sig) для оптической

скаттерометрии и CD-SEM [42] Fig. 19. Comparison of measurements results of critical sizes of the photomask spacers (sidewalls) in the form of an average (mean) and three standard deviations (3-sig) for optical scatterometry and CD-SEM [42]

вносить ошибку в результаты измерения. Корректность результатов скаттерометрии проверена с помощью РЭМ поперечного сечения, разница в результатах с которой составила 0,8-1,5 нм. Стоит также отметить более низкие значения трех сигм для скаттерометрии по сравнению с CD-SEM.

Множество работ посвящено использованию оптической скаттерометрии для контроля процессов формирования фоторезистивных масок [39, 42-45]. Также сообщается о применении скаттерометрии для контроля критических размеров канавок ячеек памяти с высоким аспектным отношением [4], для контроля шероховатостей LWR и LER [4] многозатворных транзисторов [8], GAA-транзисторов [24], а также для контроля рассо-вмещения литографических слоев [36].

Многие коммерчески доступные установки скаттерометрии используются для контроля критических размеров элементов до уровня 65-45 нм. Это связано со спектральным диапазоном источников излучения, применяемых в установках данного типа (примерно от 250 до 800 нм). Использование оптической скаттерометрии для меньших проектных норм может стать возможным при использовании источников с меньшей длиной волны, например дейтериевых ламп (150-400 нм и менее). Однако последующее снижение спектрального диапазона кажется проблематичным, поскольку возникнет необходимость вакуумирования оптической системы, ее продувки сверхчистыми газами или применения других технических решений [46], которые неизбежно приведут к резкому росту стоимости оборудования.

Преимущества оптической скаттерометрии перед электронной микроскопией заключаются, прежде всего, в используемом оборудовании. Во-первых, для нее не требуется вакуум. Во-вторых, не требуются высоковольтные источники, электронная колонна и т. п., что в совокупности делает оборудование для скаттерометрии более дешевым. Еще одним преимуществом является более высокая производительность. Для оптической скаттерометрии производительность сравнима с эллипсометрией, для которой она может достигать 100 пластин в час. Также стоит отметить неразрушающий характер воздействия на образец и отсутствие необходимости проводить дополнительную подготовку образца или занимать отдельные тестовые структуры на полезной площади пластины. В связи с этим для контроля трехмерного профиля структур, в частности фо-

торезистивных масок, целесообразно использовать оптическую скаттерометрию, а не РЭМ для технологий уровня менее 130 нм. Основная сложность при использовании данной методики заключается в трудоемкости создания оптико-геометрических моделей исследуемых структур. Кроме того, процесс моделирования может занимать длительное время, особенно при отсутствии мощных вычислительных станций.

Анализ малоуглового рентгеновского рассеяния. Мировые производители измерительного оборудования в настоящее время разрабатывают средства измерений критических размеров, работающие в рентгеновском диапазоне длин волн. В частности, некоторые компании работают над созданием промышленной установки анализа картин малоуглового рассеяния рентгеновских лучей (Critical Dimensions Small-Angle X-ray Scattering, CD-SAXS) [47]. Данная установка сможет применяться на технологических уровнях 10 нм и менее.

Разрабатываемая методика является дальнейшим развитием оптической скаттеро-метрии. Для характеризации критических размеров и профиля исследуемого образца используется анализ картины дифракции рентгеновского излучения. Таким образом, в оборудовании CD-SAXS используются рентгеновские источники излучения. Традиционно рентгеновское рассеяние (дифракция) применяется для определения структуры материалов в атомном масштабе. Измерения с помощью CD-SAXS можно сравнить с анализом картины дифракции монокристаллического материала, где период решетки -это период структуры (соотношение линий промежуткам), а атомы - это повторяющиеся наноструктурные элементы [23].

В настоящее время разработаны различные конфигурации оборудования с принципиально разными возможностями: с использованием рентгеновских лучей скользящего падения (Grazing Incidence of X-rays, GI CD-SAXS) и с использованием проходящих лучей (Transmission of X-rays, T CD-SAXS). Геометрия падения излучения на исследуемый образец данных конфигураций показана на рис. 20. Поверхность образца более чувствительна к лучам скользящего падения, и, поскольку они также отражаются от образца, в случае GI CD-SAXS возможно использование менее энергетичных пучков (около 8 кэВ) с умеренной яркостью луча для достижения более высокой скорости измерений. Однако при скользящем падении размер пятна падающего излучения достаточно большой (вытянутая форма пятна), что может не подходить для большинства измерительных площадок. В случае проходящих лучей исследование образца в основном выполняется с использованием источников синхротронного излучения при более высоких энергиях пучка. Очевидно, что синхротронные источники имеют слишком большие размеры и высокую стоимость, что делает их непрактичными для использования в микроэлектронном производстве [4]. Поэтому в настоящее время ведутся разработки источников, которые позволят повысить производительность установки CD-SAXS.

Длительность процесса проведения измерений определяется материалами образца (разницей в рассеивании в них рентгеновского излучения), мощностью источника излучения, размером пятна, проецируемого на образец, а также эффективностью детектора [47]. Сообщается, что с помощью CD-SAXS возможно определять критические размеры, шероховатость края линии и трехмерный профиль структур, в том числе затворов FinFET-транзисторов, GAA-транзисторов и фоторезистивных масок [4, 23, 48, 49]. К преимуществам использования CD-SAXS относится возможность анализа оптически непрозрачных материалов [23]. Основные усилия разработчиков оборудования для CD-SAXS сосредоточены на достижении низкого уровня шума источника и приемлемого размера пятна [4].

а б

Рис. 20. Геометрия падения и отражения рентгеновского излучения для CD-SAXS

скользящего падения (а) и проходящих лучей (б) [4] Fig. 20. Geometry of X-rays incidence and reflection for CD-SAXS grazing incidence (a)

and transmitted beams (b) [4]

Сравнение методов критических размеров элементов ИС. В табл. 1 для сравнения приведены методы, применяемые в производственных циклах для контроля критических размеров и трехмерного профиля структур. Ключевыми параметрами, определяющими использование конкретной методики в качестве in-line-системы контроля в непрерывном технологическом цикле, являются: время на проведение измерений (длительность операции контроля), область для тестовых измерений (размеры измерительных площадок, необходимость дополнительной площади на пластине) и характер воздействия на образец (или требования к его подготовке). В табл. 2 отражена матрица данных критериев для всех рассмотренных в настоящей работе методов контроля.

Таблица1

Сравнение методов контроля критических размеров элементов ИС [4, 23, 49, 50]

Table 1

Comparison of methods for IC elements CD control [4, 23, 49, 50]

CD-SEM CD-AFM OCD CD-SAXS CD-TEM

Ф Физический принцип

Взаимодействие электронного пучка и твердого тела Ван-дер-вааль-совое взаимодействие поверхности и зонда Дифракция света на периодической решетке Дифракция рентгеновского излучения на дифракционной решетке Взаимодействие электронного пучка и твердого тела

Разрешение (горизонтальное и вертикальное)

~ 0,1 нм < 0,01 нм (вертикальное) < 1 нм (горизонтальное) ~ 1 нм (разрешение зависит от модели) ~ 0,2 нм и ниже 0,08 нм (горизонтальное)

Измеряемые структуры

Любые Периодические и «квазипериодические» Периодические Любые

Воздействие на образец

Сгорание фоторезиста, зарядка (диэлектриков) Неразрушающее Неразрушаю-щее Неразрушающее, в том числе для проходящих лучей Разрушающее

Окончание

CD-SEM CD-AFM OCD CD-SAXS CD-TEM

Время получения результата

Секунды Минуты - часы Секунды (разрабатываемые модели - дни) Минуты (разрабатываемые модели - дни) Часы

Преимущества

Быстрота настройки, высокая производительность SD-измерения (особые технические решения), высокая точность SD-измерения, быстрота измерений SD-измерения, измерения критических размеров менее 10 нм Использование в качестве «золотого стандарта» измерений

Ключевые недостатки и ограничения

Сложности анализа контраста боковых стенок Низкая производительность, износ зондов Необходимость разработки точных моделей Дороговизна оборудования, сложности получения яркого и когерентного пучка Не подходит для in-line-систем контроля

Таблица 2

Уровни возможностей применения методов контроля критических размеров элементов ИС в качестве in-line-систем на производстве [4]

Table 2

The levels of possibilities of using methods for controlling the critical dimensions of IC elements as in-line systems in production [4]

Критерий CD-SEM CD-ACM OCD CD-SAXS

Время измерений (операции контроля)

Приемлемый Недостаточный Высокий Недостаточный

Область для контроля Высокий Приемлемый

Разрушаемость (воздействие на образец) Приемлемый Высокий Высокий

Способность контроля профиля

Недостаточный Приемлемый

Заключение. Анализ возможностей основных методов измерения критических размеров элементов топологии ИС контролировать полный трехмерный профиль структур, включая размеры по верху и низу линии, угол наклона боковых стенок, высоту и др., показал следующее. Методы растровой электронной микроскопии и атомно-силовой микроскопии могут применяться для такого контроля в режиме производственного цикла, однако для этого требуются нестандартные технические решения и более дорогое оборудование. Благодаря неразрушающему и бесконтактному характеру, относительной простоте оборудования, а также возможности контроля трехмерного профиля исследуемой структуры, метод оптической скаттерометрии может стать одним из главных инструментов для контроля критических размеров в режиме производственного цикла. Данный метод использует стандартную интерферометрическую технику (например, спектральную эллиспометрию) и позволяет анализировать картины дифракции от периодических структур с целью реконструкции их полного профиля. Основная

сложность метода заключается в необходимости разработки оптико-геометрических моделей структур.

Разрабатываемые в настоящее время рентгеновские методы измерений способны контролировать критические размеры для технологии 10 нм и ниже. Однако в настоящее время они не могут в полной мере использоваться на производстве. Разработанные на сегодняшний день установки CD-SAXS имеют низкую производительность, а также слишком высокую стоимость.

Литература

1. Pham D., Larson L., Yang J.-W. FinFET device junction formation challenges // 2006 International Workshop on Junction Technology. Shanghai: IEEE, 2006. P. 73-77. https://doi.org/10.1109/IWJT.2006.220864

2. Metrology capabilities and needs for 7 nm and 5 nm logic nodes / B. Bunday, E. Solecky, A. Vaid et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXXI. 2017. Vol. 10145. Art. ID: 101450G. https://doi.org/10.1117/12.2260870

3. Ionizing radiation damage in 65 nm CMOS technology: Influence of geometry, bias and temperature at ultra-high doses / G. Borghello, E. Lerario, F. Faccio et al. // Microelectronics Reliability. 2021. Vol. 116. Art. No. 114016. https://doi.org/10.1016/j.microrel.2020.114016

4. Gaps analysis for CD metrology beyond the 22 nm node / B. Bunday, Th. A. Germer, V. Vartanian et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVII. 2013. Vol. 8681. Art. ID: 86813B. https://doi.org/10.1117/12.2012472

5. Герасименко Н., Волоховский А., Запорожан О. Особенности контроля технологии кремниевых наноструктур // Наноиндустрия. 2017. № 5 (76). С. 36-51. https://doi.org/10.22184/1993-8578.2017.76.5.36.51

6. Ukraintsev V. A., Baum Ch., Zhang G., Hall C. L. The role of AFM in semiconductor technology development: The 65 nm technology node and beyond // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XIX. 2005. Vol. 5752. Art. ID: 602758. https://doi.org/10.1117/12.602758

7. Development of a 3D-AFM for true 3D measurements of nanostructures / G. Dai, W. Häßler-Grohne, D. Hüser et al. // Meas. Sci. Technol. 2011. Vol. 22. No. 9. Art. No. 094009. https://doi.org/10.1088/0957-0233/22/9/094009

8. Макушин М. В. Скаттерометрия и перспективные полупроводниковые технологии // Электроника: Наука, технология, бизнес. 2021. № 6 (207). С. 44-53. https://doi.org/10.22184/1992-4178.2021.207.6.44.53

9. Кузин А. Ю., Марютин В. Н., Календин В. В. Методы и средства измерений линейных размеров в нанометровом диапазоне // Микросистемная техника. 2001. № 4. С. 9-19. EDN HOIBUT.

10. Metrology challenges in 3D NAND flash technical development and manufacturing / W. Zhang, J. Xu, S. Wang et al. // J. Microelectron. Manuf. 2020. Vol. 3. No. 1. P. 1-8. https://doi.org/10.33079/jomm.20030102

11. Resist shrink characterization methodology for more accurate CD metrology / M. A. Breton, K. Petrillo, J. Church et al. // Proc. SPIE. Metrology, Inspection, and Process Control XXXVI. 2022. Vol. PC12053. Art. ID: PC120530C. https://doi.org/10.1117/12.2614219

12. Time-dependent electron-beam-induced photoresist shrinkage effects / B. D. Bunday, A. Cordes, C. Hartig et al. // Journal of Micro/Nanolithography, MEMS, and MOEMS. 2012. Vol. 11. Iss. 2. Art. No. 023007. https://doi.org/10.1117/1.JMM.11.2.023007

13. Mechanism of ArF resist-pattern shrinkage in critical-dimension scanning electron microscopy measurement / T. Azuma, K. Chiba, H. Abe et al. // J. Vac. Sci. Technol. B. 2004. Vol. 22. Iss. 1. P. 226-230. https://doi.org/10.1116/1.1643055

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

14. 193-nm resist: Ultralow voltage CD-SEM performance for sub-130-nm contact hole process / J. E. Ferri, M. Vieira, M. Reybrouck et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XVII. 2003. Vol. 5038. Art. ID: 487597. https://doi.org/10.1117/12.487597

15. Sundaram G., Sullivan N. T., Mai T., Ke Ch.-M. Low-impact resist metrology: The use of ultralow voltage for high-accuracy performance // Proc. SPIE. Metrology, Inspection, and Process Control for Micro-lithography XVIII. 2004. Vol. 5375. Art. ID: 539221. https://doi.org/10.1117/12.539221

16. Sundaram G., Lee B.-H., Mai T., Sullivan N. T. Minimizing the impact of image icquisition on CD-SEM LER/LWR measurements // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XIX. 2005. Vol. 5752. Art. ID: 601090. https://doi.org/10.1117/12.601090

17. Experiment and simulation of charging effects in SEM / S. Babin, S. Borisov, Y. Miyano et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXII. 2008. Vol. 6922. Art. ID: 692219. https://doi.org/10.1117/12.772255

18. Novel three dimensional (3D) CD-SEM profile measurements / W. Ito, B. Bunday, S. Harada et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVIII. 2014. Vol. 9050. Art. ID: 90500D. https://doi.org/10.1117/12.2047374

19. Amplitude and spatial frequency characterization of line-edge roughness using CD-SEM / G. Eytan, O. Dror, L. Ithier et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XVI. 2002. Vol. 4689. Art. ID: 473473. https://doi.org/10.1117/12.473473

20. Marschner T., Stief C. Characterization of 193-nm resist layers by CD-SEM sidewall imaging // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XVII. 2003. Vol. 5038. Art. ID: 482808. https://doi.org/10.1117/12.482808

21. Nasu O., Sasada K., Ikeda M., Ezumi M. New CD-SEM system for 100-nm node process // Hitachi Review. 2002. Vol. 51. No. 4. P. 125-129.

22. Monahan K. M., MacNaughton C., Waiman Ng, Quattrini R. A systems approach to gate CD control: Metrology, throughput, and OEE // 1997 IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings (Cat. No. 97CH36023). San Francisco, CA: IEEE, 1997. P. B53-B57. https://doi.org/ 10.1109/ISSM.1997.664510

23. Metrology for the next generation of semiconductor devices / N. G. Orji, M. Badaroglu, B. M. Barnes et al. // Nat. Electron. 2018. Vol. 1. No. 10. P. 532-547. https://doi.org/10.1038/s41928-018-0150-9

24. Keller N., Liu Zh. Metrology solutions for gate-all-around transistors in high volume manufacturing // Semiconductor Digest Magazine. 2020. Vol. 2. No. 5. P. 24-28.

25. Binnig G., Quate C. F., Gerber Ch. Atomic force microscope // Phys. Rev. Lett. 1986. Vol. 56. Iss. 9. P. 930-933. https://doi.org/10.1103/PhysRevLett.56.930

26. Парфенов В. А., Юдин И. А. Атомно-силовая микроскопия и ее применения в науке, технике и реставрации // Изв. СПбГЭТУ «ЛЭТИ». 2015. № 9. С. 61-70. EDN UZCATX.

27. Improved etch and CMP process control using in-line AFM / T. Trenkler, T. Kraiss, U. Mantz et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XVIII. 2004. Vol. 5375. Art. ID: 535967. https://doi.org/10.1117/12.535967

28. Трапашко Г. А. Калибровка установок измерений размеров элементов микроэлектронных структур // Наука и техника. 2012. № 4. С. 22-30. EDN TORETB.

29. Быков В. А. Микромеханика для сканирующей зондовой микроскопии и нанотехнологии // Микросистемная техника. 2000. № 1. С. 21-33. EDN HOHZFV.

30. Kado H., Yokoyama K., Tohda T. A novel ZnO whisker tip for atomic force microscopy // Ultramicroscopy. 1992. Vol. 42-44. P. 1659-1663. https://doi.org/10.1016/0304-3991(92)90501-A

31. Kado H., Yamamoto Sh., Yokoyama K., Tohda T. Observation of contact holes by atomic force microscopy with a ZnO whisker tip // Journal of Applied Physics. 1993. Vol. 74. Iss. 7. P. 4354-4356. https://doi.org/10.1063/L355313

32. Advancement in fabrication of carbon nanotube tip for atomic force microscope using multi-axis nanomanipulator in scanning electron microscope / S. K. Kanth, A. Sharma, B. C. Park et al. // Nanotechnolo-gy. 2022. Vol. 33. No. 17. Art. No. 175703. https://doi.org/10.1088/1361-6528/ac4a2b

33. New three-dimensional AFM for CD measurement and sidewall characterization / Y. Hua, C. Buenviaje-Coggins, Y. Lee et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXV. 2011. Vol. 7971. Art. ID: 797118. https://doi.org/10.1117/12.879545

34. Introduction of next-generation 3D AFM for advanced process control / J. Foucher, R. Thérèse, Y. Lee et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVII. 2013. Vol. 8681. Art. ID: 868106. https://doi.org/10.1117/12.2011463

35. Recent CD AFM probe developments for sub-45 nm technology nodes / H.-C. Liu, J. R. Osborne, G. A. Dahlen et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXII. 2008. Vol. 6922. Art. ID: 69222J. https://doi.org/10.1117/12.773057

36. Chen X., Liu S. Optical scatterometry for nanostructure metrology // Metrology / ed. W. Gao. Singapore: Springer Nature, 2019. P. 477-513. https://doi.org/10.1007/978-981-10-4938-5_17

37. Beklemishev N. N., Benevolenskii S. B., Istomina N. L., Kopylov P. V. Improvement of the accuracy of diffraction measurements of circuit feature sizes // Russ. Microelectron. 1998. Vol. 27. Iss. 6. P. 386-388.

38. Implementation of spectroscopic critical dimension (SCD) (TM) for gate CD control and stepper characterization / J. A. Allgair, D. C. Benoit, M. Drew (Jr.) et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XV. 2001. Vol. 4344. Art. ID: 436771. https://doi.org/10.1117/12.436771

39. Nondestructive analysis of lithographic patterns with natural line edge roughness from Mueller matrix ellipsometric data / X. Chen, Y. Shi, H. Jiang et al. // Applied Surface Science. 20i6. Vol. 388. P. 524-530. https://doi.org/i0.i0i6/j.apsusc.20i5.i0.i67

40. Fast and accurate scatterometry metrology method for STI CMP step height process evaluation / C.-H. Lin, C. Huang, C.-L. Hsu et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVI. 20i2. Vol. 8324. Art. ID: 83242i. https://doi.org/i0.iii7/i2.9i6235

41. High speed optical metrology solution for after etch process monitoring and control / A.-L. Charley, Ph. Leray, W. Pypen et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVIII. 20i4. Vol. 9050. Art. ID: 9050iH. https://doi.org/i0.iii7/i2.2047280

42. Metrology characterization of spacer double patterning by scatterometry / P. Dasari, J. Li, J. Hu et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXV. 20ii. Vol. 797i. Art. ID: 797iii. https://doi.org/i0. i i i7/i2.879900

43. Advanced EUV resist characterization using scatterometry and machine learning / D. Schmidt, K. Petrillo, M. Breton et al. // 202i 32nd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC). Milpitas, CA: IEEE, 202i. P. i-4. https://doi.org/i0.ii09/ASMC5i74i.202i.9435698

44. El Kodadi M., Soulan S., Besacier M., Schiavone P. Real time scatterometry for profile control during resist trimming process // J. Vac. Sci. Technol. B. 2009. Vol. 27. Iss. 6. P. 3232-3237. https://doi.org/i0. i i i6/i.3256594

45. Multiparameter grating metrology using optical scatterometry / Ch. J. Raymond, M. R. Murnane, S. L. Prins et al. // J. Vac. Sci. Technol. B. i997. Vol. i5. Iss. 2. P. 36i-368. https://doi.org/i0.iii6/L589320

46. Buckner B. D., Hirleman E. D. Deep-ultraviolet scatterometry for nanoparticle detection // Proc. SPIE. Process Control and Diagnostics. 2000. Vol. 4i82. Art. ID: 4i0083. https://doi.org/i0.iii7/i2.4i0083

47. Ito Y., Higuchi A., Omote K. Characterization of cross-sectional profile of resist L/S and hole pattern using CD-SAXS // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXX. 20i6. Vol. 9778. Art. ID: 97780L. https://doi.org/i0.iii7/i2.22i8983

48. Nonplanar high-k dielectric thickness measurements using CD-SAXS / C. Wang, K.-W. Choi, Y.-C. Chen et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXIII. 2009. Vol. 7272. Art. ID: 72722M. https://doi.org/i0.iii7/i2.8i3757

49. Pattern fidelity in nanoimprinted films using CD-SAXS / R. L. Jones, Ch. L. Soles, E. K. Lin et al. // Proc. SPIE. Emerging Lithographic Technologies IX. 2005. Vol. 575i. Art. ID: 600267. https://doi.org/i0.iii7/ i2.600267

50. A holistic metrology approach: Hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM / A. Vaid, B. B. Yan, Y. T. Jiang et al. // Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXV. 20ii. Vol. 797i. Art. ID: 797i03. https://doi.org/i0.iii7/i2.88i632

Обзор поступил в редакцию 19.12.2022 г.; одобрен после рецензирования 09.01.2023 г.;

принят к публикации 30.03.2023 г.

Информация об авторах

Нелюбин Илья Вадимович - аспирант Института интегральной электроники Национального исследовательского университета «MИЭT» (Россия, 12449В, г. Mосквa, г. Зеленоград, пл. Шокина, 1), [email protected]

Путря Михаил Георгиевич - доктор технических наук, профессор Института интегральной электроники Национального исследовательского университета «MИЭT» (Россия, 12449В, г. Mосквa, г. Зеленоград, пл. Шокина, д.1), [email protected]

References

1. Pham D., Larson L., Yang J.-W. FinFET device junction formation challenges. 2006International Workshop on Junction Technology. Shanghai, IEEE, 2006, pp. 73-77. https://doi.org/10.1109/IWJT.2006.220864

2. Bunday B., Solecky E., Vaid A., Bello A. F., Dai X. Metrology capabilities and needs for 7 nm and 5 nm logic nodes. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXXI, 2017, vol. 10145, art. ID: 101450G. https://doi.org/i0.iii7/12.2260870

3. Borghello G., Lerario E., Faccio F., Koch H. D., Termo G., Michelis S., Marquez F. J., Palomo F. R., Muñoz F. Ionizing radiation damage in 65 nm CMOS technology: Influence of geometry, bias and temperature

at ultra-high doses. Microelectronics Reliability, 2021, vol. 116, art. no. 114016. https://doi.org/10.1016/ j.microrel.2020.114016

4. Bunday B., Germer Th. A., Vartanian V., Cordes A., Cepler A., Settens Ch. Gaps analysis for CD metrology beyond the 22 nm node. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVII, 2013, vol. 8681, art. ID: 86813B. https://doi.org/10.1117/12.2012472

5. Gerasimenko N., Volokhovsky A., Zaporozhan O. Features of control of silicon nanostructures technology. Nanoindustriya = Nanoindustry, 2017, no. 5 (76), pp. 36-51. (In Russian). https://doi.org/10.22184/1993-8578.2017.76.5.36.51

6. Ukraintsev V. A., Baum Ch., Zhang G., Hall C. L. The role of AFM in semiconductor technology development: The 65 nm technology node and beyond. Proc. SPIE. Metrology, Inspection, and Process Control for MicrolithographyXIX, 2005, vol. 5752, art. ID: 602758. https://doi.org/10.1117/12.602758

7. Dai G., Häßler-Grohne W., Hüser D., Wolff H., Danzebrink H.-U., Koenders L., Bosse H. Development of a 3D-AFM for true 3D measurements of nanostructures. Meas. Sci. Technol., 2011, vol. 22, no. 9, art. no. 094009. https://doi.org/10.1088/0957-0233/22/9/094009

8. Makushin M. Scatterometry and advanced semiconductor technologies. Elektronika: Nauka, tekhnologiya, biznes = Electronics: Science, Technology, Business, 2021, no. 6 (207), pp. 44-53. (In Russian). https://doi.org/10.22184/1992-4178.2021.207.6.44.53

9. Kuzin A. Iu., Mariutin V. N., Kalendin V. V. Methods and means of linear sizes dimensions in nanometer range. Mikrosistemnaya tekhnika = Microsystems Engineering, 2001, no. 4, pp. 9-19. (In Russian). EDN HOIBUT.

10. Zhang W., Xu J., Wang S., Zhou Y., Mi J. Metrology challenges in 3D NAND flash technical development and manufacturing. J. Microelectron. Manuf., 2020, vol. 3, no. 1, pp. 1-8. https://doi.org/10.33079/ jomm.20030102

11. Breton M. A., Petrillo K., Church J., Meli L., Fullam J., Sieg S., Lallement R., Felix N. et al. Resist shrink characterization methodology for more accurate CD metrology. Proc. SPIE. Metrology, Inspection, and Process ControlXXXVI, 2022, vol. PC12053, art. ID: PC120530C. https://doi.org/10.1117/12.2614219

12. Bunday B. D., Cordes A., Hartig C., Allgair J. A., Vaid A., Solecky E., Rana N. Time-dependent electron-beam-induced photoresist shrinkage effects. Journal of Micro/Nanolithography, MEMS, and MOEMS, 2012, vol. 11, iss. 2, art. no. 023007. https://doi.org/10.1117/1.JMM.11.2.023007

13. Azuma T., Chiba K., Abe H., Motoki H., Sasaki N. Mechanism of ArF resist-pattern shrinkage in critical-dimension scanning electron microscopy measurement. J. Vac. Sci. Technol. B, 2004, vol. 22, iss. 1, pp. 226-230. https://doi.org/10.1116/1.1643055

14. Ferri J. E., Vieira M., Reybrouck M., Mastovich M. E., Bowdoin S., Brandom R., Knutrud P. C. 193-nm resist: Ultralow voltage CD-SEM performance for sub-130-nm contact hole process. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XVII, 2003, vol. 5038, art. ID: 487597. https://doi.org/ 10.1117/12.487597

15. Sundaram G., Sullivan N. T., Mai T., Ke Ch.-M. Low-impact resist metrology: The use of ultralow voltage for high-accuracy performance. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XVIII, 2004, vol. 5375, art. ID: 539221. https://doi.org/10.1117/12.539221

16. Sundaram G., Lee B.-H., Mai T., Sullivan N. T. Minimizing the impact of image icquisition on CD-SEM LER/LWR measurements. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XIX, 2005, vol. 5752, art. ID: 601090. https://doi.org/10.1117/12.601090

17. Babin S., Borisov S., Miyano Y., Abe H., Kadowaki M., Hamaguchi A., Yamazaki Y. Experiment and simulation of charging effects in SEM. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithog-raphyXXII, 2008, vol. 6922, art. ID: 692219. https://doi.org/10.1117/12.772255

18. Ito W., Bunday B., Harada S., Cordes A., Murakawa T., Arceo A., Yoshikawa M., Hara T., Arai T., Shida S. et al. Novel three dimensional (3D) CD-SEM profile measurements. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVIII, 2014, vol. 9050, art. ID: 90500D. https://doi.org/10.1117/ 12.2047374

19. Eytan G., Dror O., Ithier L., Florin B., Lamouchi Z., Martin N. Amplitude and spatial frequency characterization of line-edge roughness using CD-SEM. Proc. SPIE. Metrology, Inspection, and Process Control for MicrolithographyXVI, 2002, vol. 4689, art. ID: 473473. https://doi.org/10.1117/12.473473

20. Marschner T., Stief C. Characterization of 193-nm resist layers by CD-SEM sidewall imaging. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XVII, 2003, vol. 5038, art. ID: 482808. https://doi.org/10.1117/12.482808

21. Nasu O., Sasada K., Ikeda M., Ezumi M. New CD-SEM system for 100-nm node process. Hitachi Review, 2002, vol. 51, no. 4, pp. 125-129.

22. Monahan K. M., MacNaughton C., Waiman Ng, Quattrini R. A systems approach to gate CD control: Metrology, throughput, and OEE. 1997 IEEE International Symposium on Semiconductor Manufacturing Conference Proceedings (Cat. No. 97CH36023). San Francisco, CA, IEEE, 1997, pp. B53-B57. https://doi.org/10.1109/ISSM.1997.664510

23. Orji N. G., Badaroglu M., Barnes B. M., Beitia C., Bunday B. D., Celano U., Kline R. J., Neisser M., Obeng Y., Vladar A. E. Metrology for the next generation of semiconductor devices. Nat. Electron., 2018, vol. 1, no. 10, pp. 532-547. https://doi.org/10.1038/s41928-018-0150-9

24. Keller N., Liu Zh. Metrology solutions for gate-all-around transistors in high volume manufacturing. Semiconductor Digest Magazine, 2020, vol. 2, no. 5, pp. 24-28.

25. Binnig G., Quate C. F., Gerber Ch. Atomic force microscope. Phys. Rev. Lett., 1986, vol. 56, iss. 9, pp. 930-933. https://doi.org/10.1103/PhysRevLett.56.930

26. Parfenov V. A., Yudin I. A. Atomic force microscopy and its application in science, engineering and restoration. Izv. SPbGETU "LETI" = Proceedings of Saint Petersburg Electrotechnical University, 2015, no. 9, pp. 61-70. (In Russian). EDN UZCATX.

27. Trenkler T., Kraiss T., Mantz U., Weidner P., Pinto R. H. Improved etch and CMP process control using in-line AFM. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XVIII, 2004, vol. 5375, art. ID: 535967. https://doi.org/10.1117/12.535967

28. Trapashko G. Calibration of devices for measuring elements of micro-electronic structures. Nauka i tekhnika = Science and Technique, 2012, no. 4, pp. 22-30. (In Russian). EDN TORETB.

29. Bykov V. A. Micromechanics for scanning probe microscopy and nanotechnology. Mikrosistemnaya tekhnika = Microsystems Engineering, 2000, no. 1, pp. 21-33. (In Russian). EDN HOHZFV.

30. Kado H., Yokoyama K., Tohda T. A novel ZnO whisker tip for atomic force microscopy. Ultramicro-scopy, 1992, vol. 42-44, pp. 1659-1663. https://doi.org/10.1016/0304-3991(92)90501-A

31. Kado H., Yamamoto Sh., Yokoyama K., Tohda T. Observation of contact holes by atomic force microscopy with a ZnO whisker tip. Journal of Applied Physics, 1993, vol. 74, iss. 7, pp. 4354-4356. https://doi.org/10.1063/L355313

32. Kanth S. K., Sharma A., Park B. C., Song W., Ruh H., Hong J. Advancement in fabrication of carbon nanotube tip for atomic force microscope using multi-axis nanomanipulator in scanning electron microscope. Nanotechnology, 2022, vol. 33, no. 17, art. no. 175703. https://doi.org/10.1088/1361-6528/ac4a2b

33. Hua Y., Buenviaje-Coggins C., Lee Y., Lee J., Ryang K., Park S. New three-dimensional AFM for CD measurement and sidewall characterization. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXV, 2011, vol. 7971, art. ID: 797118. https://doi.org/10.1117/12.879545

34. Foucher J., Thérèse R., Lee Y., Park S.-I., Cho S.-J. Introduction of next-generation 3D AFM for advanced process control. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVII, 2013, vol. 8681, art. ID: 868106. https://doi.org/10.1117/12.2011463

35. Liu H.-C., Osborne J. R., Dahlen G. A., Greschner J., Bayer Th., Kalt S., Fritz G. Recent CD AFM probe developments for sub-45 nm technology nodes. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXII, 2008, vol. 6922, art. ID: 69222J. https://doi.org/10.1117/12.773057

36. Chen X., Liu S. Optical scatterometry for nanostructure metrology. Metrology, ed. W. Gao. Singapore, Springer Nature, 2019, pp. 477-513. https://doi.org/10.1007/978-981-10-4938-5_17

37. Beklemishev N. N., Benevolenskii S. B., Istomina N. L., Kopylov P. V. Improvement of the accuracy of diffraction measurements of circuit feature sizes. Russ. Microelectron., 1998, vol. 27, iss. 6, pp. 386-388.

38. Allgair J. A., Benoit D. C., Drew M. (Jr.), Hershey R. R., Litt L. C., Herrera P. P., Whitney U. K., Guevremont M., Levy A., Lakkapragada S. Implementation of spectroscopic critical dimension (SCD) (TM) for gate CD control and stepper characterization. Proc. SPIE. Metrology, Inspection, and Process Control for MicrolithographyXV, 2001, vol. 4344, art. ID: 436771. https://doi.org/10.1117/12.436771

39. Chen X., Shi Y., Jiang H., Zhang Ch., Liu Sh. Nondestructive analysis of lithographic patterns with natural line edge roughness from Mueller matrix ellipsometric data. Applied Surface Science, 2016, vol. 388, pp. 524-530. https://doi.org/10.1016Zj.apsusc.2015.10.167

40. Lin C.-H., Huang C., Hsu C.-L., Sie W.-S., Wu J. Y., Bert Lin C.-H., Xu Zh.-Q. J., Yuan Q.-Y., Yoo S., Huang C.-J. E. et al. Fast and accurate scatterometry metrology method for STI CMP step height process evaluation. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVI, 2012, vol. 8324, art. ID: 832421. https://doi.org/10.1117/12.916235

41. Charley A.-L., Leray Ph., Pypen W., Cheng Sh., Verma A., Mattheus Ch., Wisse B., Cramer H., Niesing H., Kruijswijk S. High speed optical metrology solution for after etch process monitoring and control. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXVIII, 2014, vol. 9050, art. ID: 90501H. https://doi.org/10.1117/12.2047280

42. Dasari P., Li J., Hu J., Liu Zh., Kritsun O., Volkman C. Metrology characterization of spacer double patterning by scatterometry. Proc. SPIE Metrology, Inspection, and Process Control for Microlithography XXV, 2011, vol. 7971, art. ID: 797111. https://doi.org/10.1117/12.879900

43. Schmidt D., Petrillo K., Breton M., Fullam J., Koret R., Turovets I., Cepler A. Advanced EUV resist characterization using scatterometry and machine learning. 2021 32nd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC). Milpitas, CA, IEEE, 2021, pp. 1-4. https://doi.org/10.1109/ ASMC51741.2021.9435698

44. El Kodadi M., Soulan S., Besacier M., Schiavone P. Real time scatterometry for profile control during resist trimming process. J. Vac. Sci. Technol. B, 2009, vol. 27, iss. 6, pp. 3232-3237. https://doi.org/10.1116/ 1.3256594

45. Raymond Ch. J., Murnane M. R., Prins S. L., Sohail S., Naqvi H., McNeil J. R., Hosch J. W. Multiparameter grating metrology using optical scatterometry. J. Vac. Sci. Technol. B, 1997, vol. 15, iss. 2, pp. 361-368. https://doi.org/10.1116/1.589320

46. Buckner B. D., Hirleman E. D. Deep-ultraviolet scatterometry for nanoparticle detection. Proc. SPIE. Process Control and Diagnostics, 2000, vol. 4182, art. ID: 410083. https://doi.org/10.1117/12.410083

47. Ito Y., Higuchi A., Omote K. Characterization of cross-sectional profile of resist L/S and hole pattern using CD-SAXS. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXX, 2016, vol. 9778, art. ID: 97780L. https://doi.org/10.1117/12.2218983

48. Wang C., Choi K.-W., Chen Y.-C., Price J., Ho D. L., Jones R. L., Soles Ch., Lin E. K., Wu W.-L., Bunday B. D. Nonplanar high-k dielectric thickness measurements using CD-SAXS. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXIII, 2009, vol. 7272, art. ID: 72722M. https://doi.org/ 10.1117/12.813757

49. Jones R. L., Soles Ch. L., Lin E. K., Hu W., Reano R. M., Pang S. W., Weigand S. J., Keane D. T., Quintana J. P. Pattern fidelity in nanoimprinted films using CD-SAXS. Proc. SPIE. Emerging Lithographic Technologies IX, 2005, vol. 5751, art. ID: 600267. https://doi.org/10.1117/12.600267

50. Vaid A., Yan B. B., Jiang Y. T., Kelling M., Hartig C., Allgair J., Ebersbach P., Sendelbach M., Rana N., Katnani A. et al. A holistic metrology approach: Hybrid metrology utilizing scatterometry, CD-AFM, and CD-SEM. Proc. SPIE. Metrology, Inspection, and Process Control for Microlithography XXV, 2011, vol. 7971, art. ID: 797103. https://doi.org/10.1117/12.881632

The review was submitted 19.12.2022; approved after reviewing 09.01.2023;

accepted for publication 30.03.2023.

Information about the authors

Ilya V. Nelyubin - PhD student of the Institute of Integrated Electronics, National Research University of Electronic Technology (Russia, 124498, Russia, Moscow, Zelenograd, Shokin sq., 1), [email protected]

Michail G. Putrya - Dr. Sci. (Eng.), Prof. of the Institute of Integrated Electronics, National Research University of Electronic Technology (Russia, 124498, Russia, Moscow, Zelenograd, Shokin sq., 1), [email protected]

Информация для читателей журнала «Известия высших учебных заведений. Электроника»

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

С тематическими указателями статей за 1996 - 2022 гг., аннотациями и содержанием последних номеров на русском и английском языках можно ознакомиться на сайте:

http://ivuz-e.ru

i Надоели баннеры? Вы всегда можете отключить рекламу.