Научная статья на тему 'Применение метода оптической скаттерометрии для оперативного контроля геометрических параметров фоторезистивных масок'

Применение метода оптической скаттерометрии для оперативного контроля геометрических параметров фоторезистивных масок Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
60
14
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
оптическая скаттерометрия / контроль процессов / фоторезистивная маска / критические размеры / optical scatterometry / process control / photoresist mask / critical sizes

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Нелюбин И. В., Волоховский А. Д., Путря М. Г.

В современной микроэлектронной технологии методы контроля процессов фотолитографии имеют особое значение. В технологических процессах с проектными нормами 130 нм и менее фоторезистивные маски нередко приобретают трёхмерную форму. Традиционно применяемый метод контроля критических размеров, растровая электронная микроскопия, не всегда удовлетворяет требованиям прецизионности для контроля подобных структур вследствие разрушения ArF-фоторезиста под воздействием электронного потока. Кроме того, контроль процессов формирования элементов фоторезистивной маски должен подразумевать измерения параметров их полного трёхмерного профиля (латеральные и вертикальные размеры, угол наклона боковых стенок и т.д.). В данной работе, в качестве инструмента контроля геометрических параметров элементов фоторезистивной маски, рассматривается метод оптической скаттерометрии. Представлен сравнительный анализ результатов измерений критических размеров с результатами, полученными с помощью растровой электронной микроскопии. Показана возможность применения оптической скаттерометрии для контроля процессов фотолитографии в непрерывном производственном цикле.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по электротехнике, электронной технике, информационным технологиям , автор научной работы — Нелюбин И. В., Волоховский А. Д., Путря М. Г.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Optical scatterometry application for inline control of geometric parameters of photoresist masks

The methods of photolithography process control are of particular importance in modern microelectronics. In technological processes with design rules of 130 nm or less, photoresist masks often become three-dimensional. The traditionally used method for controlling critical dimensions, that is scanning electron microscopy, does not always meet the precision requirements for monitoring such structures due to the shrinkage effect of ArF-resist. Moreover, the control of the processes of manufacturing photoresist mask elements should imply measurements of its full profile (lateral and vertical sizes, sidewall angle, etc.). In this paper, the method of optical scatterometry is considered as a tool for controlling the geometric parameters of a periodic photoresist mask. A comparative analysis of the critical size measurement results obtained by scatterometry and scanning electron microscopy is presented. The potential for application of optical scatterometry for photolithography process in-line control was assessed.

Текст научной работы на тему «Применение метода оптической скаттерометрии для оперативного контроля геометрических параметров фоторезистивных масок»

ЭЛЕКТРОНИКА

УДК 53.082.5

DOI: 10.34680/2076-8052.2023.1(130).80-87

ГРНТИ 29.31.29 Специальность ВАК 2.2.2

Научная статья

ПРИМЕНЕНИЕ МЕТОДА ОПТИЧЕСКОЙ СКАТТЕРОМЕТРИИ ДЛЯ ОПЕРАТИВНОГО КОНТРОЛЯ ГЕОМЕТРИЧЕСКИХ ПАРАМЕТРОВ

ФОТОРЕЗИСТИВНЫХ МАСОК

Нелюбин И. В.12, Волоховский А. Д.1, Путря М. Г.2

ООО «НМ-Тех» (Зеленоград, Россия) 2Национальный исследовательский университет «МИЭТ» (Зеленоград, Россия)

Аннотация В современной микроэлектронной технологии методы контроля процессов фотолитографии имеют особое значение. В технологических процессах с проектными нормами 130 нм и менее фоторезистивные маски нередко приобретают трёхмерную форму. Традиционно применяемый метод контроля критических размеров, растровая электронная микроскопия, не всегда удовлетворяет требованиям прецизионности для контроля подобных структур вследствие разрушения ArF-фоторезиста под воздействием электронного потока. Кроме того, контроль процессов формирования элементов фото-резистивной маски должен подразумевать измерения параметров их полного трёхмерного профиля (латеральные и вертикальные размеры, угол наклона боковых стенок и т.д.). В данной работе, в качестве инструмента контроля геометрических параметров элементов фоторезистивной маски, рассматривается метод оптической скаттерометрии. Представлен сравнительный анализ результатов измерений критических размеров с результатами, полученными с помощью растровой электронной микроскопии. Показана возможность применения оптической скаттерометрии для контроля процессов фотолитографии в непрерывном производственном цикле.

Ключевые слова: оптическая скаттерометрия, контроль процессов, фоторезистивная маска, критические размеры

Для цитирования: Нелюбин И. В., Волоховский А. Д., Путря М. Г. Применение метода оптической скаттерометрии для оперативного контроля геометрических параметров фоторезистивных масок // Вестник НовГУ. 2023. 1(130). 80-87. DOI: 10.34680/2076-8052.2023.1(130).80-87

Research Article

OPTICAL SCATTEROMETRY APPLICATION FOR IN-LINE CONTROL OF GEOMETRIC PARAMETERS OF PHOTORESIST MASKS

Nelyubin I. V.12, Volokhovsky A. D.1, Putrya M. G.2

1NM-Tech LLC (Zelenograd, Russia)

2National Research University of Electronic Technology (MIET) (Zelenograd, Russia)

Abstract The methods of photolithography process control are of particular importance in modern microelectronics. In technological processes with design rules of 130 nm or less, photoresist masks often become three-dimensional. The traditionally used method for controlling critical dimensions, that is scanning electron microscopy, does not always meet the precision requirements for monitoring such structures due to the shrinkage effect of ArF-resist. Moreover, the control of the processes of manufacturing photoresist mask elements should imply measurements of its full profile (lateral and vertical sizes, sidewall angle, etc.). In this paper, the method of optical scatterometry is considered as a tool for controlling the geometric parameters of a periodic photoresist mask. A comparative analysis of the critical size measurement results obtained by scatterometry and scanning electron microscopy is presented. The potential for application of optical scatterometry for photolithography process in-line control was assessed.

Keywords: optical scatterometry, process control, photoresist mask, critical sizes

For citation: Nelyubin I. V., Volokhovsky A. D., Putrya M. G. Optical scatterometry application for in-line control of geometric parameters of photoresist masks // Vestnik NovSU. 2023. 1 (130). 80-87. DOI: 10.34680/2076-8052.2023.1(130).80-87

Введение

В современной полупроводниковой технологии методам контроля процессов фотолитографии уделяют особое внимание. Кроме высокой воспроизводимости и разрешающей способности, эти методы должны обладать достаточной для производственных условий производительностью измерений, относительной дешевизной оборудования, а также неразрушающим характером [1]. Традиционно для контроля латеральных размеров фоторезистивных масок используется растровая электронная микроскопия (РЭМ), которая зачастую не удовлетворяет вышеописанным требованиям. Во-первых, измерения ArF-фоторезистов (в литографии с длиной волны экспонирования 193 нм) с помощью электронной микроскопии проблематичны из-за эффекта «сгорания», заключающегося в уменьшении ширины линии фоторезиста после экспозиции электронным лучом. При повторных измерениях ширина линии может уменьшаться на величину до 10% от исходного значения [2]. Во-вторых, возникают ограничения при необходимости проводить измерения не только латеральных размеров. В технологических процессах с проектными нормами 130 нм и менее большая часть элементов и структур изготавливаемых ИС имеет высокое аспектное отношение (отношение ширины линии к её толщине). В частности, к таким структурам относятся используемые при создании ячеек памяти различные многослойные маски, состоящие из слоёв оксида, нитрида и фоторезиста [3, 4]. Соответственно, контроль процессов формирования таких структур должен подразумевать измерения параметров их полного трёхмерного профиля, включая латеральные и вертикальные размеры, угол наклона боковой стенки и шероховатость линии по ширине, поскольку такая совокупность геометрических параметров начинает играть существенную роль как в интерпретации результатов измерений, так и в результирующей работоспособности создаваемых ИС [5].

Благодаря таким преимуществам, как неразрушающий и бесконтактный характер, относительная простота оборудования, а также возможность воссоздания трёхмерного профиля исследуемой структуры, метод оптической скаттерометрии имеет потенциал стать одним из главных метрологических инструментов для контроля критических размеров в режиме непрерывного производственного цикла. Данный метод строится на базе стандартной интерференционной техники, такой как спектральная эллипсометрия, и позволяет анализировать дифракионные картины от периодических структур с целью восстановления их пространственных профилей [1]. Расчёт параметров структуры по измеренному оптическому отклику предполагает использование численных методов и знание дисперсионных моделей материалов всех слоёв, входящих в структуру исследуемого объекта.

В настоящей работе метод оптической скаттерометрии рассмотрен в качестве инструмента контроля геометрических параметров элементов фоторезистивной маски. Представлено сравнение результатов измерений критических размеров с результатами, полученными с помощью растровой электронной микроскопии. Проведена оценка возможности применения оптической скаттерометрии в качестве системы оперативного контроля (в режиме непрерывного технологического цикла) процессов фотолитографии.

Метод оптической скаттерометрии

Структурная схема проведения измерений методом оптической скаттерометрии представлена на рисунке 1. С помощью спектральной эллипсометрии исследуется изменение состояния поляризации электромагнитной волны при прохождении или отражении от образца. Это изменение, в свою очередь, является функцией оптических параметров образца (толщины, показателя преломления и т.д.). Измерения проводятся непосредственно внутри тестовой структуры с периодически повторяющимися прямоугольными элементами топологии, которая фактически представляет собой дифракционную решетку и изготавливается в едином технологическом цикле производства изготовления ИС.

Рисунок 1. Схема процесса проведения измерений в методе оптической скаттерометрии [6]

Полученная экспериментальная информация (спектральные зависимости, связанные с основными эллипсометрическими параметрами) далее обрабатывается с помощью встроенного программно-математического обеспечения методом скаттерометрии, результатом чего являются трёхмерные геометрические параметры профиля исследуемой структуры. Перед началом измерений необходимо с высокой точностью охарактеризовать геометрические параметры тестового элемента топологии (решётки) и описать возможные диапазоны изменений этих параметров при многократных реализациях и изменениях технологических процессов (в режимах отработки технологии).

Подготовка образцов и эксперимент

В настоящей работе, в качестве исследуемых образцов, были использованы пять кремниевых пластин диаметром 200 мм с изготовленной на них фоторезистивной маской, представляющей собой решётку, состоящую из периодически повторяющихся прямоугольных элементов. Технологический маршрут изготовления маски включал в

себя операции нанесения антиотражающего покрытия (BARC, bottom anti-reflective coating), нанесение фоторезиста (Resist) и проявление фоторезиста после экспонирования через фотошаблон. Схематичное изображение исследуемых структур представлено на рисунке 2. Период решётки (P) для всех пластин оставался фиксированным и равнялся 360 нм, в то время как соотношение ширины линии фоторезиста (W) к промежуткам между ними (S) варьировалось. Тестовые структуры формировались на площадке размерами 50 х 50 мкм, соизмеримой с размером светового пятна источника излучения измерительной установки и встроенной в дорожку скрайбирования между кристаллами. Описание всех геометрических параметров тестовых структур и диапазоны их возможных изменений в процессе численного расчёта представлены в таблице 1.

Рисунок 2. Схематичное изображение тестовых структур, где 1 - Si подложка, 2 - слой антиотражающего покрытия (BARC), 3 - фоторезист (Resist)

Таблица 1. Геометрические параметры модели фоторезистивной решетки

Обозначение Параметр Определение в модели Диапазон значений

Lbottom Ширина фоторезиста по низу Плавающий 120-200 нм

LT0P Ширина фоторезиста по верху Плавающий 70-160 нм

SWA Sidewall angle (угол наклона стенки) Плавающий определен по формуле трапеции 85-90°

S Пробел между линиями решётки Фиксирован 180-240 нм

P Период решётки Фиксирован; Р = Ш + 5 360 нм

Hres Толщина фоторезиста Плавающий 260-300 нм

H barc Толщина BARC-слоя Фиксирован 80 нм

R Rounding (закругление верхнего основания) Плавающий 5-10 нм

F Footing (закругление нижнего основания) Плавающий 5-10 нм

Измерения проводились с помощью установки скаттерометрии (выпускаемой коммерчески), основанной на спектральном эллипсометре, построенном по схеме с

вращающимся анализатором и фиксированным компенсатором, имеющим рабочий спектральный диапазон 240-800 нм, фиксированный угол падения на образец порядка 70° и оснащённый отражающей фокусирующей оптикой, позволяющей иметь пятно на образце порядка 10х30 мкм (далее СКА).

Для сравнения проводились измерения геометрических параметров тех же структур методом РЭМ с помощью специализированного метрологического РЭМ, предназначенного для автоматического измерения критических размеров («CD-SEM»), имеющего разрешение 2 нм при ускоряющем напряжении 800 В и токе пучка 8 пА, предельное увеличение 400 000 крат.

Для проведения расчётов профиля исследуемых структур (восстановления профиля по оптическому отклику) использовалось встроенное программное обеспечение установки, в котором реализуется метод RCWA (rigorous coupled-wave analysis, строгий метод связанных волн). При расчёте учитывалось, что фоторезистивная маска представляет собой стек из двух материалов (BARC и фоторезист), для которых дисперсионные зависимости коэффициентов преломления и отражения в спектральном диапазоне установки исследовались отдельно, на той же установке. Дополнительно в процессе расчёта использовались также параметры закругления маски по верху (Rounding, R) и закругления по низу (Footing, F).

Результаты и их обсуждение

На рисунке 3 представлены РЭМ-изображения исследуемых структур с различной шириной линий фоторезиста. LWR-эффект (шероховатость линии по ширине) в данном случае проявляется незначительно, поэтому измерение ширины фоторезиста по верху возможно без затруднений.

а б

Рисунок 3. РЭМ-изображения фоторезистивной маски с шириной фоторезиста W 150 нм (а) и 180 нм (б)

В таблице 2 представлены результаты измерений исследуемых структур. С помощью РЭМ были измерены параметры LB0TT0M и LT0P, с помощью СКА также угол

наклона стенки фоторезиста SWA и его толщина HRES. Измерения производились в 7 кадрах пластины в 9 точках (измерительных площадках) в каждом, далее вычислялось среднее значение каждого критического размера и значения их среднеквадратичного отклонения.

Таблица 2. Результаты измерений (в формате: среднее значение ± среднеквадратичное отклонение)

Структуры Lbottom1 нм (РЭМ) Lbottom1 нм (СКА) LT0P, нм (РЭМ) LT0P, нм (СКА) SWA, ° (СКА) HRES, нм (СКА)

W = 120, 5 = 240 126,4 ±4,4 115,4 ± 2,6 77,1 ± 4,8 99,4 ± 3,6 88,3 ±0,2 269,2 ± 1,4

W = 130, S = 230 146,4 ± 2,9 138,3 ± 1,9 96,6 ± 4,4 117,3 ± 3,5 87,7 ± 0,3 277,9 ± 1,3

W = 150, 5 = 210 157,9 ± 1,8 147,9 ± 1,2 105,2 ± 3,0 130,4 ± 0,8 88,2 ±0,1 281,2 ± 0,8

W = 170, S = 190 167,6 ± 3,3 160,4 ± 3,0 120,6 ± 3,5 140,9 ± 2,7 88,0 ± 0,2 285,7 ± 1,5

W = 180, 5 = 180 191,0 ± 2,5 183,0 ± 1,7 137,9 ± 3,1 159,4 ±2,2 87,6 ±0,2 289,9 ± 1,3

Из таблицы 2 видно, что значения размера макси по низу LB0TT0M (РЭМ)превос-ходят аналогичные значения, полученные методом СКА, с разницей ALB0TT0M в 7-11 нм. Измерения маски по верху LT0P, в свою очередь, также отличаются для разных методов (с разницей ALT0P 20-25 нм), но значения, полученные с применением СКА, больше аналогичных, полученных с применением РЭМ. Также видно, что значения стандартного отклонения для параметров SWA и HRES достаточно низкие (0.1-1.4 нм) и в основном ниже, чем для LB0TT0M и LT0P.

В таблице 3 показана результирующая разница AL для различных методик измерений (AL = L(CKA) - Ь(РЭМ), а также указаны значения параметра LB0TT0M(СКА) и LT0P (СКА) с учётом параметров Footing и Rounding (с прибавлением и вычитанием соответственно) в процессе численного моделирования.

Таблица 3. Разница в результатах измерений LB0TT0M и и L

Структуры ЛLBQTTQM, нм МТ0Р, нм Lbottom (СКА) + F, нм 1Т0Р(СКА) - R, нм

W= 120, 5 = 240 -11,0 22,3 127,5 90,5

W = 130, 5 = 230 -8,1 20,7 148,2 108,0

W = 150, 5 = 210 -10,0 25,2 158,5 120,4

W = 170, 5 = 190 -7,2 20,3 169,6 131,7

W = 180, 5 = 180 -8,0 21,5 191,8 151,1

При численном расчёте по формуле, определённой для Footing (LB0TT0M (СКА) + F), результирующие значения близки к параметрам LB0TT0M (РЭМ) с разницей уже 1-2 нм. Это позволяет сделать вывод о высокой достоверности полученных результатов измерений размера маски по низу с помощью метода СКА. Полностью объяснить разницу в результатах измерений для ширины маски по верху ALT0P с помощью параметра Rounding аналогичным образом не удаётся, поскольку разница с LT0P (РЭМ) в таком случае составляет 10-13 нм. Предположительно, данная разница может быть связана с некоторыми особенностями формирования контраста в РЭМ, а также с необходимостью включения в используемую для расчёта модель более детального

описания дисперсионных зависимостей материалов фоторезистивной маски (например, моделирование границы раздела фоторезист-воздух). Из табл. 3 видно, что результирующие разницы ALB0TT0M и ALT0Pстабильны для всех образцов с разной шириной линии фоторезиста. Это говорит о возможности использования метода скатте-рометрии при варьировании ширины структуры, т.е. при варьировании параметров технологического процесса без дополнительной настройки методики измерений.

Заключение

В настоящей работе метод оптической скаттерометрии рассмотрен в качестве инструмента для контроля критических размеров элементов фоторезистивной маски. Показано, что для получения корректных результатов расчётов необходим учёт эффектов, связанных с технологическими процессами формирования фоторезистивной маски, вызывающих отклонения критических размеров (закругления оснований), а также детальное описание дисперсионных зависимостей материалов. Также необходимо учитывать характеристики нижележащих функциональных и вспомогательных слоёв. Преимуществом метода скаттерометрии является возможность получения трёхмерного профиля исследуемой структуры (с контролем таких параметров, как угол наклона боковой стенки и толщина слоя), что более трудоёмко при использовании растровой электронной микроскопии. Кроме того, метод оптической скаттеромет-рии характеризуется меньшей степенью воздействия на образец (отсутствует эффект «сгорания» фоторезиста), что позволяет производить повторные измерения параметров фоторезистивных структур с более высокой точностью. Стоит отметить, что измерительная тестовая структура для скаттерометрии изготавливается в едином технологическом цикле с основной топологией конкретного слоя интегральной схемы (располагается в дорожке скрайбирования между кристаллами). Это обстоятельство делает скаттерометрию пригодной для оперативного контроля критических размеров и формы элементов фоторезистивных масок.

Список литературы

1. Волоховский А. Д., Герасименко Н. Н., Петраков Д. С. Применение комбинированных оптических методов для контроля процесса травления щелевой изоляции // Известия вузов. Электроника. 2017. 22(4). 331-340. DOI: 10.214151/1561-5405-2017-224-331-340

2. Azuma T., Chiba K., Abe H., Motoki H., Sasaki N. Mechanism of ArF resist-pattern shrinkage in critical-dimension scanning electron microscopy measurement // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. 2004. 22(1). 226-230. DOI: 10.1116/1.1643055

3. Bunday B., Germer T., Vartanian V. H., Cordes A., Cepler A., Settens Ch. Gaps analysis for CD metrology beyond the 22 nm Node // Metrology, Inspection, and Process Control for Microlithography XXVII. 2013. 8681. 870-898. DOI: 10.1117/12.2012472

4. Radamson, H. H., Zhu H., Wu Z., He X., Lin H., Liu J., Xiang J., Kong Z., Xiong W., Li J., Cui H., Gao J., Yang H., Du Y., Xu B., Li B., Zhao X., Yu J., Dong Y., Wang G. State of the art and future perspectives in advanced CMOS technology // Nanomaterials. 2020. 10. 8. 1555. DOI: 10.3390/nano10081555

5. Ukraintsev V. A., Baum Ch., Zhang G., Hall C. L. The role of AFM in semiconductor technology development: the 65 nm technology node and beyond // Metrology, Inspection, and Process Control for Microlithography XIX. 2005. 5752. 127-139. DOI: 10.1117/12.602758

6. Allgair J. A., Benoit D. C., Drew M. (Jr.), Hershey R. R., Litt L. C., Herrera P. P., Whitney U. K., Guevremont M., Levy A., Lakkapragada S. Implementation of spectroscopic critical dimension (SCD) (TM) for gate CD control and stepper characterization // Metrology, Inspection, and Process Control for Microlithography XV. 2001. 4344. 462-471. DOI: 10.1117/12.436771

References

1. Volokhovsky A. D., Gerasimenko N.N., Petrakov D.S. Primeneniye kom-binirovannykh opticheskikh metodov dlya kontrolya protsessa travleniya shchelevoy izoly-atsii [Application of combined optical methods to control the etching process of slit insulation] // News of universities. Electronics. 2017. 22(4). 331-340. DOI: 10.214151/1561-5405-201722-4-331-340

2. Azuma T., Chiba K., Abe H., Motoki H., Sasaki N. Mechanism of ArF resist-pattern shrinkage in critical-dimension scanning electron microscopy measurement // Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena. 2004. 22(1). 226-230. DOI: 10.1116/1.1643055

3. Bunday B., Germer T., Vartanian V. H., Cordes A., Cepler A., Settens Ch. Gaps analysis for CD metrology beyond the 22 nm Node // Metrology, Inspection, and Process Control for Microlithography XXVII. 2013. 8681. 870-898. DOI: 10.1117/12.2012472

4. Radamson, H. H., Zhu H., Wu Z., He X., Lin H., Liu J., Xiang J., Kong Z., Xiong W., Li J., Cui H., Gao J., Yang H., Du Y., Xu B., Li B., Zhao X., Yu J., Dong Y., Wang G. State of the art and future perspectives in advanced CMOS technology // Nanomaterials. 2020. 10. 8. 1555. DOI: 10.3390/nano10081555

5. Ukraintsev V. A., Baum Ch., Zhang G., Hall C. L. The role of AFM in semiconductor technology development: the 65 nm technology node and beyond // Metrology, Inspection, and Process Control for Microlithography XIX. 2005. 5752. 127-139. DOI: 10.1117/12.602758

6. Allgair J. A., Benoit D. C., Drew M. (Jr.), Hershey R. R., Litt L. C., Herrera P. P., Whitney U. K., Guevremont M., Levy A., Lakkapragada S. Implementation of spectroscopic critical dimension (SCD) (TM) for gate CD control and stepper characterization // Metrology, Inspection, and Process Control for Microlithography XV. 2001. 4344. 462-471. DOI: 10.1117/12.436771

Сведения об авторах

Нелюбин Илья Вадимович - аспирант, Национальный исследовательский университет «МИЭТ»; инженер участка контроля, ООО «НМ-Тех» (Зеленоград, Россия), ORCID: 0009-0001-86712206, info@nm-tech.org

Волоховский Александр Дмитриевич - кандидат технических наук, начальник участка контроля, ООО «НМ-Тех» (Зеленоград, Россия), ORCID: 0000-0002-7265-6995, info@nm-tech.org

Путря Михаил Георгиевич - доктор технических наук, профессор, Национальный исследовательский университет «МИЭТ» (Зеленоград, Россия), ORCID: 0000-0003-0608-7824, mis-hapmg@gmail.com

i Надоели баннеры? Вы всегда можете отключить рекламу.