Научная статья на тему 'Генерирование фазового шума с применением псевдослучайной последовательности'

Генерирование фазового шума с применением псевдослучайной последовательности Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
895
127
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ГЕНЕРАТОР ПСЕВДОСЛУЧАЙНЫХ ЧИСЕЛ / КОЛЬЦЕВОЙ ГЕНЕРАТОР / ДЖИТТЕР / ЦИФРОВАЯ ЛИНИЯ ЗАДЕРЖКИ / ФАЗОВАЯ ИНТЕРПОЛЯЦИЯ / МОДУЛЯЦИЯ / ФАЗОВЫЙ ШУМ / ЭЛЕМЕНТ ЗАДЕРЖКИ / DELAY ELEMENT / PSEUDORANDOM NUMBER GENERATOR / JITTER / DIGITAL DELAY LINE / PHASE INTERPOLATION / MODULATION / PHASE NOISE / RING OSCILLATOR

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Бутаев Михаил Матвеевич, Чулков Валерий Александрович

Рассмотрен способ моделирования фазового дрожания сигналов данных с использованием принципа фазовой интерполяции тактового периода, в качестве первичного шума применяется цифровой шум генератора псевдослучайной последовательности. Предложены схемы генераторов импульсов с близким к нормальному распределением девиаций фазы и заданной шириной их энергетического спектра. Квант времени в генераторах определяется цифровой линией задержки или той же линией задержки, замкнутой в схему кольцевого генератора импульсов.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Генерирование фазового шума с применением псевдослучайной последовательности»

ИНФОРМАТИКА, ВЫЧИСЛИТЕЛЬНАЯ ТЕХНИКА И УПРАВЛЕНИЕ

УДК 621.373.13

М. М. Бутаев, В. А. Чулков

ГЕНЕРИРОВАНИЕ ФАЗОВОГО ШУМА С ПРИМЕНЕНИЕМ ПСЕВДОСЛУЧАЙНОЙ ПОСЛЕДОВАТЕЛЬНОСТИ

Аннотация. Рассмотрен способ моделирования фазового дрожания сигналов данных с использованием принципа фазовой интерполяции тактового периода, в качестве первичного шума применяется цифровой шум генератора псевдослучайной последовательности. Предложены схемы генераторов импульсов с близким к нормальному распределением девиаций фазы и заданной шириной их энергетического спектра. Квант времени в генераторах определяется цифровой линией задержки или той же линией задержки, замкнутой в схему кольцевого генератора импульсов.

Ключевые слова: генератор псевдослучайных чисел, кольцевой генератор, джиттер, цифровая линия задержки, фазовая интерполяция, модуляция, фазовый шум, элемент задержки.

Abstract. The method of data signals jitter simulation with using clock period phase interpolation principle where the digital noise of pseudorandom sequence generator is employed as the reference noise is described. The pulse generator circuits were proposed with close to normal distribution of phase deviations and given energy spectrum. The generator time quantum is defined by digital delay line or the same delay line closed into the pulse ring oscillator circuit.

Keywords: delay element, pseudorandom number generator, jitter, digital delay line, phase interpolation, modulation, phase noise, ring oscillator.

Введение

Для имитации сигналов данных с целью оценки запаса работоспособности и уточнения требований к характеристикам декодеров в системах передачи и хранения данных необходимы генераторы импульсов с нормированным фазовым шумом, который во временной области проявляется как джиттер - фазовое дрожание импульсов. Измерение допуска на джиттер (фазового запаса) позволяет количественно охарактеризовать способность декодера к верному воспроизведению данных, процесс измерения предполагает возможность добавлять к позициям импульсов дозированное в статистическом смысле отклонение.

Различают регулярную и случайную составляющие джиттера. Регулярный джиттер вызывается предсказуемыми процессами, которые происходят в оборудовании, он может быть также связан с особенностями представления сигналов. Моделирование регулярного джиттера, как правило, не представля-

ет проблемы и может осуществляться с помощью фазового модулятора, изменяющего фазу тактового генератора по заданному закону [1]. Случайный джиттер обусловлен главным образом шумовыми процессами, сопровождающими процесс передачи и приема данных; его воспроизведение представляет собой достаточно сложную задачу, один из способов решения которой рассматривается ниже.

1. ГПСЧ как источник цифрового шума

Ввиду большого количества факторов, определяющих отклонения импульсов от номинальных позиций, очень часто на основании центральной предельной теоремы принимается гауссова модель джиттера с равномерным энергетическим спектром [2]. Для генерирования джиттера применяются аналоговые и цифровые способы. Аналоговые способы, основанные на использовании шумящих элементов с последующим линейным преобразованием шумового напряжения во временной сдвиг [3, 4], не обеспечивают точности и стабильности статистических характеристик джиттера.

К случайным сигналам с непрерывным и равномерным спектром шума близки по статистическим свойствам цифровые псевдослучайные последовательности, которые генерируются с помощью генератора псевдослучайных чисел (ГПСЧ). Строго говоря, псевдослучайные сигналы являются регулярными, однако период их повторения несложно сделать настолько большим, что закономерность значений моделируемого параметра не успевает проявиться за время наблюдения. Для построения ГПСЧ используются кольцевые сдвигающие регистры с обратной связью через элементы арифметического сложения по модулю 2, которыми служат вентили ИСКЛЮЧАЮЩЕЕ ИЛИ. Два возможных варианта схемного осуществления ГПСЧ представлены в общем виде на рис. 1.

Рис. 1. Структура ГПСЧ: а - реализация Фибоначчи; б - реализация Галуа

По способу Фибоначчи (рис. 1,а) с помощью узлов сложения выходных состояний регистра, которые берутся с весами gi (gi = 0 в случае отсутствия связи, gi = 1 в случае наличия связи), образуется общий для всего сдвигающего регистра сигнал обратной связи (go и gm всегда равны 1 - общие выход и вход регистра всегда участвуют в образовании обратной связи). По способу Галуа (рис. 1,б) организуются множественные обратные связи с основного выхода регистра на входы триггеров каждого его разряда. Сравнение двух способов обнаруживает, что порядок следования весов gi цепей разрядных обратных связей в них противоположен. При идентичных значениях весов обратных связей эти две реализации будут давать одинаковые последовательности двоичных слов, различающиеся лишь исходными значениями.

ГПСЧ вырабатывают так называемые линейные рекурсивные последовательности, поскольку все операции в схеме являются линейными. Длина последовательности двоичных символов на выходе зависит от порядка подключения обратных связей и начального состояния схемы. Всего ГПСЧ может принимать 2 состояний, одно из которых с нулями во всех разрядах является тривиальным и нерабочим. Поэтому в практических схемах принимаются меры по исключению тривиального тупикового состояния и выбирают «правильные» точки подключения обратных связей, что обеспечивает

максимум (2м -1) состояний. Для определения точек подключения обратных связей используется теория конечного поля Галуа, к настоящему времени найдены решения для схемы Фибоначчи. Одной из точек подключения всегда является выход последнего т-го разряда регистра, координаты другой (или других) точки подключения к для т < 33 можно найти в [5]. Увеличение разрядности регистра дает экспоненциальное возрастание периода генерируемой последовательности, который, например, при тактовой частоте 1 ГГц и т = 33 достигает примерно 8,6 с. Ясно, что даже продолжительную реализацию процесса можно считать совершенно случайной.

Отметим некоторые свойства псевдослучайной последовательности, оправдывающие широкое применение ГПСЧ в качестве первичного источника шума. Вероятности того, что следующим за данным двоичным символом последовательности будет символ 0 или 1, равны соответственно

11 11

Р0 =т—т—, Р1 =Т + -

2 2т -1 2 2т -1

При увеличении разрядности регистра сдвига т и рд = р ^ 1/2 . Наиболее важной для практики генерирования шума является спектральная плотность псевдослучайной последовательности, которая, будучи приведенной к амплитуде первой гармоники, описывается выражением [5]

( \

Ж (ю) =

8Ш (соТо/ 2) тТ0/ 2

I ^

к=1

2к к

о--

0

У

где 5(х) - 8-функция Дирака, и представляет собой решетчатую функцию, форма которой представлена на рис. 2. Ширина спектра процесса до точки

достижения нуля равна тактовой частоте сдвигающего регистра 1/То и никак не связана с числом его разрядов, которое определяет лишь число спектральных линий, разделенных интервалом ^^2т - 1^То . При т спектр приближается к сплошному спектру совершенно случайного процесса. Известно также, что сумма содержимого группы смежных разрядов ГПСЧ обладает биномиальным, а при большом количестве разрядов гауссовым распределением.

Рис. 2. Спектральная плотность псевдослучайной последовательности

2. Общая структура генератора джиттера

Техническое осуществление способа, иллюстрируемое общей структурой «шумящего» генератора кодовых импульсов (рис. 3), заключается в изменении задержки (фазовой модуляции - ФМ) импульсов опорного генератора (ОГ) цифровым кодом, который образуется путем сложения содержимого смежных разрядов регистра ГПСЧ. Полученные таким образом дрожащие тактовые импульсы передаются на генератор кодовых комбинаций (ГК).

ОГ

Фо

¡г

и

С

Г

V

ФМ

гг

ДФ(^)

ГК

N

Рис. 3. Генератор кодовых импульсов с шумовой фазой

Границы энергетического спектра фазового дрожания определяются разрядностью ГПСЧ и тактовой частотой /о : его нижняя граница равна частоте повторения состояний ГПСЧ /н = /о /(2т -1), где т - число разрядов ГПСЧ, а верхняя - /о (или порядка 0,6/о по уровню - 3 дБ). Размах фазового дрожания равен кґр , где к - число разрядов регистра ГПСЧ, участвующих в суммировании, а - шаг перестройки задержки блока ФМ. Следовательно, норму фазового дрожания можно оценить как а = — кґр .

6

Следует отметить, что энергетический спектр генерируемого джиттера все же отличается от спектра «белого» шума, поскольку неравномерен спектр первичного источника цифрового шума. Выровнять спектр джиттера можно путем ограничения ширины его частотной полосы. Это достигается за счет уменьшения частоты выходных импульсов по сравнению с опорной частотой ГПСЧ, например, с помощью делителя опорной частоты на Ы, который и определяет генерируемую частоту /о/Ы (рис. 4). Кроме того, в схеме необходим регистр (РГ) для хранения состояния ГПСЧ в паузах между импульсами делителя частоты.

Рис. 4. Генератор джиттера с равномерным спектром

Верхняя граница энергетического спектра цифрового шума, управляющего модулятором, ограничивается здесь частотой fo/N. Следовательно, из полного частотного диапазона энергетического спектра foj[m - l/..fo сохраняется лишь практически плоский начальный участок

foj¡2m - l/.. fo/N. Поскольку огибающая спектра определяется выражением W[AtD ) = [sin(к/N)/(к/N)] , то уже при N = 8 спад огибающей не превысит 0,45 дБ.

Известные генераторы джиттера, использующие аналоговое суммирование единиц с выходов регистра ГПСЧ для образования шумового напряжения, которое далее модулирует частоту управляемого генератора [6], не способны точно воспроизводить статистические характеристики джиттера ввиду косвенного задания фазовых сдвигов, нелинейности модуляционной характеристики и нестабильности частоты генератора. По этой причине оправдан переход к полностью цифровым способам моделирования джиттера с непосредственным воздействием на фазу генерируемых импульсов, использующим принцип фазовой интерполяции.

3. Принцип фазовой интерполяции в технике генерирования джиттера

Точность моделирования джиттера возрастает с уменьшением шага дискретизации времени модулятора (рис. 3) и увеличением числа разрядов ГПСЧ, участвующих в образовании цифрового шума. Чтобы достичь шага дискретизации времени, много меньшего тактового периода цифрового сигнала данных, применяется фазовая интерполяция (ФИ), сущность которой состоит в образовании равномерно распределенных точек отсчета внутри опорного периода. Метод ФИ получил развитие в технике последних лет благодаря разработке схемных средств регулирования задержки логических вен-

тилей в интегральных схемах. ФИ осуществляется с помощью цифровой линии задержки (ЦЛЗ), которая может также применяться в замкнутом варианте, образуя структуру мультифазного кольцевого генератора (МКГ).

Поскольку при увеличении количества суммируемых одноразрядных чисел схема сумматора резко усложняется, а время сложения стремительно увеличивается, то образование суммы целесообразно осуществлять без применения комбинационных сумматоров. В схеме, показанной на рис. 5,а, функции сумматора возложены на постоянное запоминающее устройство (ПЗУ), хранящее результаты сложения множества одноразрядных чисел в табличной форме. Тактовая частота устройства задается опорным генератором, который снабжает тактовыми импульсами ГПСЧ, выполненный на регистре сдвига с обратной связью через первый вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ. Второй такой же вентиль служит для подавления тупикового состояния всех нулей, возможного после включения питания. В таком случае на связанном с ним выходе ПЗУ появляется записанная по адресу 00...0 единица, которая перемещается в регистр с первым же тактовым импульсом. Входящая в устройство ЦЛЗ обеспечивает не зависящую от опорной частоты дисперсию фазовых девиаций генерируемых импульсов, изменить которую можно, сделав ЦЛЗ сменным элементом схемы, либо снабдив ее средствами перестройки задержки. Мультиплексор, адресный код которого задается основными выходами ПЗУ, выбирает заданный отвод ЦЛЗ и исполняет таким образом роль цифрового фазового модулятора.

Основу схемы генератора (рис. 5,б) с процентным фазовым шумом (постоянным отношением среднеквадратического отклонения фазы к периоду) составляет МКГ, построенный на ЦЛЗ с инверсной обратной связью. Поскольку всякий раз новое слово в регистре образуется в результате сдвига прежнего слова в регистре ГПСЧ, то значение инкремента суммы (+1, -1 или 0) в следующем такте может быть определено уже в текущем такте. Это позволяет упростить узел суммирования, который здесь выполнен в виде реверсивного счетчика импульсов, работой которого управляют вентили ИСКЛЮЧАЮЩЕЕ ИЛИ и ИЛИ-НЕ. В суммировании участвуют все выходы ГПСЧ, кроме выхода первого младшего разряда. В такте, когда на всех старших разрядах ГПСЧ появляются логические нули, элемент ИЛИ-НЕ сбрасывает счетчик, и на его выходах оказывается двоичное число, равное текущему значению суммы, т.е. 0.

В дальнейшем в каждом такте работы вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ разрешает изменение состояния счетчика по его входу Е, если бит младшего разряда ГПСЧ, который войдет в следующем такте в число суммируемых разрядов, отличается от бита старшего разряда, который в следующем такте покинет регистр. Тот же младший разряд ГПСЧ указывает направление приращения суммы. Если сумма не должна измениться (состояния младшего и старшего разрядов ГПСЧ одинаковы), вентиль ИСКЛЮЧАЮЩЕЕ ИЛИ запрещает изменение состояния счетчика.

Частота генерируемых импульсов равна частоте МКГ, ее можно регулировать, если выполнить каскады МКГ на управляемых элементах задержки. При любой частоте джиттер, отнесенный к периоду импульсов, и определяемый также каскадами МКГ, остается неизменным. Чтобы избежать «дребезга» фронтов выходных импульсов, тактирование ГПСЧ и счетчика осуществляется самими выходными импульсами.

а)

l-ч

РШШ»

44.144.44444.4.

& о с 1-4

= 1

~п>

СТ о

R

C

0 MX

1

2

3

4

5

6

7

40

A1

42

•—►

б)

Рис. 5. Схемы генерирования джиттера на основе ЦЛЗ (а) и МКГ (б)

4. Элементы цифровой линии задержки

Цифровая линия задержки (DDL - Digital Delay Line в зарубежной литературе), представляющая собой последовательную цепь элементов задержки (ЭЗ), является основным узлом интерполирующих устройств, обеспечивая квант времени, равный времени задержки ЭЗ. В тех случаях, когда необходимо разрешение по времени, меньшее задержки логического вентиля, применяются специальные элементы фазовой интерполяции. Такие элементы способны образовать среднее колебание на отрезке между двумя опорными колебаниями, каковыми могут быть входной и выходной импульсы звена ЦЛЗ, и размножить таким образом число ее промежуточных отводов [7]. Приведем некоторые примеры схемного исполнения элементов задержки и элементов фазовой интерполяции.

Показанная на рис. 6 схема ЭЗ построена на основе двунаправленного ключа, нагруженного на конденсатор СН. Управление задержкой схемы осуществляется сопряженным изменением напряжений на затворах транзисторов Тр и Тп с помощью токового зеркала (не показано). Высокий уровень входного напряжения иі передается на выход через транзистор Тр , а низкий уровень - через Тп . Время задержки определяется процессом перезаряда емкости нагрузки СН через эквивалентное сопротивление пары транзисторов

где ЯЭ =

U - Utn )

lD 0

Uo

E

(t ) = E (l

- P-tl^c

(1 - e-1)

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

U у - Un

■-0,3

, Ido - ток стока при UзИ = U= E .

U/O-

Е - Uy

I

Uy

-oUo

: Ch

Рис. 6. Схема элементов задержки на двунаправленном ключе

Для комплементарных транзисторов Kn = |Kp , Utn = \Utp\, тогда отсчитанная по уровню половины питающего напряжения задержка составляет

= ECHln2

tD =----/--------\2 ,

Kn (Uу - Un )

где Utn и Up - пороговые напряжения; Kn и Kp - значения удельной крутизны транзисторов Tn и Tp соответственно.

Составленная из подобных элементов задержки схема ЦЛЗ обладает диапазоном регулирования задержки 3 : 1, что достаточно для компенсации ее технологического разброса и температурного ухода.

Элементы фазовой интерполяции осуществляют процесс локальной интерполяции путем взвешенного суммирования пары опорных колебаний. Обязательным условием интерполяции является перекрытие во времени фронтов опорных колебаний x(t) и y(t), в результате сложения которых получается третье колебание z(t) такое, что z (t ) = ax(t ) + (1 - a) y (t ), где а = 0.. .1 -управляющее воздействие (обычно требуется а = 1/2). В типовой схеме диф-

ференциального элемента фазовой интерполяции на МОП-транзисторах с резистивной нагрузкой (рис. 7 [8]) опорные сигналы X и У, проходя через буферные каскады задержки, смещаются по оси времени на (в (выходы Хв, Ув ). Интерполирующий сигнал ¿в образуется путем суммирования опорных сигналов, позиции его фронтов между фронтами опорных сигналов задаются коэффициентом интерполяции а, определяющим распределение тока смещения 10 между плечами схемы.

Е

R R 9 Zd 9

Ch

4----f

Ch

§)üla (1-а)/0(^

ФИ

Рис. 7. Дифференциальный МОП-элемент фазовой интерполяции

В момент tx поступления сигнала Х происходит включение тока 1q в буфере Х и одновременно тока üIq в сдвоенном буфере интерполятора Z. Поступающий через время At в момент tj сигнал Y включает ток 1q в буфере Y и ток (1 - a )1q в буфере интерполятора. В итоге заряд емкости нагрузки в буфере Z в течение времени At осуществляется током alQ, а затем полным током 1q . Коэффициент а можно задавать аналоговым или цифровым способом. Аналоговое управление используется для регулирования задержки ЦЛЗ или частоты импульсов МКГ. Цифровое управление выполняется с помощью управляемых кодом сопряженных источников тока alQ

и (1 - a )1q в виде цифроаналоговых преобразователей с токовым выходом,

что позволяет повысить линейность регулирования фазы интерполирующего сигнала.

5. Непосредственное сложение квантов времени

Еще один способ генерирования джиттера, который не нуждается в операциях суммирования и мультиплексирования, основан на непосредственном сложении квантов времени, формируемых последовательной цепью элементов задержки, каждый из которых (рис. 8) снабжен цифровым и аналоговым входами управления. Наличие логической «1» на цифровом входе Q приводит к увеличению времени задержки на величину AtD :

В - (в + йА(в ,

*

где (в - неизменная составляющая - минимальная задержка элемента. Изменением напряжения иа можно плавно перестраивать время задержки, устанавливая нужное его приращение А(в, и, следовательно, среднеквадратическое отклонение задержки. Такие элементы задержки, которые на схеме показаны каскадами с шунтирующими ключами, несложно построить известными способами [9].

Рис. 8. Генератор джиттера на последовательной цепи управляемых элементов задержки

Время задержки импульса опорного генератора пропорционально общему количеству единиц на выходах ГПСЧ. Поскольку эта сумма распределена нормально, то нормально распределена и задержка выходных импульсов. Если для управления ЦЛЗ используются п из т выходов регистра

ГПСЧ, то задержка характеризуется минимальным значением (в тт - п(в ,

('

максимальным значением tmax = n \tD +Аґд

математическим ожиданием

Девиации задержки осуществляются по n ступеням

с шагом AtD, при этом дисперсия девиаций составляет аг = I — AtD I , а энер-

гетическии спектр процесса простирается от

/о/( - і) до ¡о .

Практическая схема генератора джиттера, построенная на 7-секционной ЦЛЗ, была собрана на кристалле ПЛИС EP2S15F484C3 фирмы «Altera». Работоспособность схемы была проверена вначале путем моделирования в САПР Quartus ll. Каждый элемент задержки, собранный из восьми последовательно соединенных буферов LCELL [10], задерживает сигнал на время 2,905 нс. После этого было осуществлено конфигурирование ПЛИС и выполнены измерения. По результатам измерения значений задержки t фронта выходного импульса относительно фронта входного импульса в пределах полного цикла ГПСЧ построена гистограмма распределения времени задержки (рис. 9). Из гистограммы видно, что джиттер импульсов обладает близким к нормальному распределением. Некоторая асимметрия графика относитель-

ного математического ожидания (( = 22,689 нс) объясняется тем, что в ГПСЧ исключена комбинация «1111111», которой соответствует минимальная задержка.

N

35

30

25

20

15

10

5

---------------------------------------------------1=1-----------

0 13,974 16,879 19,784 22,689 25,594 28,499 31,404 34,309 t, нс

Рис. 9. Гистограмма распределения времени задержки

Энергетический спектр джиттера простирается от частоты опорных импульсов до частоты повторения комбинаций ГПСЧ, увеличивая число разрядов которого, можно получить практически случайный процесс. В рассмотренном примере использован 7-разрядный ГПСЧ, и, следовательно, спектр занимает полосу от fо/127 = 160 кГц до опорной частоты fo ~ 20 МГц.

Заключение

Теоретически обоснован метод воспроизведения джиттера с применением фазовой интерполяции и псевдослучайной последовательности импульсов как источника цифрового шума. Предложены способы преобразования исходной псевдошумовой последовательности чисел в фазу импульсов, выявлены закономерности такого преобразования и разработаны структуры и принципиальные решения генераторов джиттера с заданными статистическими свойствами для имитации сигналов данных в каналах с шумом.

Список литературы

1. Milijevic, S. Use an off-the-shelf signal source as a jitter/wander generator / S. Mili-jevic // EDN. - 2005. - № 3. - February. - Р. 83, 84.

2. Katz, E. R. Effect of Bitshift Distribution on Error Rate in Magnetic Recording / E. R. Katz, T. G. Campbell // IEEE Transactions on Magnetics. - 1979. - V. MAG-15. -№ 3. - May. - Р. 1050-1053.

3. Бобнев, М. П. Генерирование случайных сигналов / М. П. Бобнев. - 2-е изд., перераб. и доп. - М. : Энергия, 1971. - 239 с.

4. Тухарели, К. Д. Устройства имитации дрожания фазы импульсов кодовых последовательностей / К. Д. Тухарели, В. Г. Шульга // Полупроводниковая электроника в технике связи : сборник статей под ред. И. Ф. Николаевского. -Вып. 19. - М. : Связь, 1978. - С. 154-161.

5. Корн, Г. А. Моделирование случайных процессов на аналоговых и аналогоцифровых машинах : пер. с англ. / Г. А. Корн. - М. : Мир, 1968. - 315 с.

6. Харикумар, Наир. Генератор пульсаций для испытаний битового синхронизатора / Наир Харикумар // Электроника. - 1975. - № 11. - С. 65-67.

7. Чулков, В. А. Фазовая интерполяция в системах синхронизации и преобразования информации / В. А. Чулков. - Пенза : Изд-во Пенз. гос. технол. академии, 2008. - 395 с.

8. Mukhtar, S. Interval Modulation: A New Paradigm for the Design of High Speed Communication Systems / S. Mukhtar // Ph. D. Dissertation. - Pasadena, California Institute of Technology. - 2004. - 123 p. [Электронный ресурс]. - Режим доступа: http://etd.caltech.edu/etd/available/etd-07072004-154316/unrstricted/thesis

9. Maharaptra, N. R. Comparison and Analysis of Delay Elements / N. R. Maharap-tra, A. Tareen, S. V. Garimella // Pros. IEEE Computer Society Annual Workshop on VLSI (WVLSI 2000), April 27-28, 2000. - Orlando, FL, 2000. - P. 81-86.

10. Стешенко, В. Б. ПЛИС фирмы «Altera»: элементная база, система проектирования и языки описания аппаратуры / В. Б. Стешенко. - М. : Додэка-XXI, 2002. -576 с.

Бутаев Михаил Матвеевич доктор технических наук, профессор, заведующий кафедрой вычислительной техники, Пензенский государственный университет

Е-таП: but@alice.pnzgu.ru

Чулков Валерий Александрович

кандидат технических наук, профессор, декан факультета заочного обучения, Пензенская государственная технологическая академия

Е-таП: chu@pgta.ru

Butaev Mikhail Matveevich Doctor of engineering sciences, professor, head of sub-department of computer science, Penza State University

Chulkov Valery Alexandrovich Candidate of engineering sciences, professor, dean of department of correspondence education,

Penza State Technological Academy

УДК 621.373.13 Бутаев, М. М.

Генерирование фазового шума с применением псевдослучайной последовательности / М. М. Бутаев, В. А. Чулков // Известия высших учебных заведений. Поволжский регион. Технические науки. - 2010. - № 1 (13). -С. 3-14.

i Надоели баннеры? Вы всегда можете отключить рекламу.