Научная статья на тему 'Управляемые кольцевые генераторы импульсов в технике синхронизации'

Управляемые кольцевые генераторы импульсов в технике синхронизации Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
354
42
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ФАЗОВАЯ ИНТЕРПОЛЯЦИЯ / СИНХРОНИЗАЦИЯ / КОЛЬЦЕВОЙ ГЕНЕРАТОР / ЭЛЕМЕНТ ЗАДЕРЖКИ / ФУНКЦИЯ ИМПУЛЬСНОЙ ЧУВСТВИТЕЛЬНОСТИ / PHASE INTERPOLATION / SYNCHRONIZATION / RING OSCILLATOR / DELAY ELEMENT / IMPULSE SENSITIVITY FUNCTION

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Чулков Валерий Александрович

Актуальность и цели. Объектом исследования являются управляемые кольцевые генераторы импульсов для устройств фазовой синхронизации и восстановления данных в процессе их передачи по каналу связи или воспроизведения в устройстве хранения данных. Актуальность работы состоит в возможности повышения точности синхронизации за счет фазовой интерполяции периода множеством смещенных во времени импульсов мультифазного кольцевого генератора как местного генератора цифровой системы фазовой синхронизации. Цель работы создание базовых схем и вариантов практического исполнения схем управляемых кольцевых генераторов с непосредственной и косвенной (через управление фазой) перестройкой частоты, а также оценка их основных характеристик. Материалы и методы. Исследование основных характеристик управляемых кольцевых генераторов выполнено с привлечением методов теории фазовой интерполяции, функций импульсной чувствительности, синтеза схем цифровой электроники. Результаты. Рассмотрены технические принципы фазовой интерполяции и созданы новые схемотехнические решения управляемых кольцевых генераторов импульсов, оценены их модуляционные и шумовые характеристики. Выводы. Применение предложенных в работе технических решений и выведенных расчетных соотношений в технике цифровой адаптивной синхронизации систем передачи и хранения данных позволяет повысить точность синхронизации без повышения тактовой частоты таких систем.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

CONTROLLED RING PUSLE OSCILLATORS IN SYNCHRONIZATION TECHNOLOGY

Background. The research subject is controlled ring pulse oscillators for phase synchronization devices and data recovery during their transmission through a communication channel or recovery in a data storage device. The research topicality consists in the possibility of increasing the accuracy of synchronization due to phase interpolation of the period by a set of time-shifted pulses from a multiphase ring oscillator as a local generator of a digital phase synchronization system. The aim of the work is to create basic circuits and variants of practical execution of controlled ring oscillator circuits with direct and indirect frequency control (through phase control), as well as to estimate their main characteristics. Materials and methods. The study of the main characteristics of controlled ring oscillators was performed using methods of the phase interpolation theory, pulse sensitivity functions, synthesis of digital electronic circuits. Results. Technical principles of phase interpolation have been considered and new circuit-based solutions of controlled ring pulse oscillators have been created, their modulation and noise characteristics have been estimated. Conclusions. The application of the proposed technical solutions and deduced calculation relationships in the digital adaptive synchronization technique of data transmission and storage systems makes it possible to increase the synchronization accuracy without increasing the clock frequency of such systems.

Текст научной работы на тему «Управляемые кольцевые генераторы импульсов в технике синхронизации»

В. А. Чулков

УПРАВЛЯЕМЫЕ КОЛЬЦЕВЫЕ ГЕНЕРАТОРЫ ИМПУЛЬСОВ В ТЕХНИКЕ СИНХРОНИЗАЦИИ

Аннотация.

Актуальность и цели. Объектом исследования являются управляемые кольцевые генераторы импульсов для устройств фазовой синхронизации и восстановления данных в процессе их передачи по каналу связи или воспроизведения в устройстве хранения данных. Актуальность работы состоит в возможности повышения точности синхронизации за счет фазовой интерполяции периода множеством смещенных во времени импульсов мультифазного кольцевого генератора как местного генератора цифровой системы фазовой синхронизации. Цель работы - создание базовых схем и вариантов практического исполнения схем управляемых кольцевых генераторов с непосредственной и косвенной (через управление фазой) перестройкой частоты, а также оценка их основных характеристик.

Материалы и методы. Исследование основных характеристик управляемых кольцевых генераторов выполнено с привлечением методов теории фазовой интерполяции, функций импульсной чувствительности, синтеза схем цифровой электроники.

Результаты. Рассмотрены технические принципы фазовой интерполяции и созданы новые схемотехнические решения управляемых кольцевых генераторов импульсов, оценены их модуляционные и шумовые характеристики.

Выводы. Применение предложенных в работе технических решений и выведенных расчетных соотношений в технике цифровой адаптивной синхронизации систем передачи и хранения данных позволяет повысить точность синхронизации без повышения тактовой частоты таких систем.

Ключевые слова: фазовая интерполяция, синхронизация, кольцевой генератор, элемент задержки, функция импульсной чувствительности.

V. A. Chulkov

CONTROLLED RING PUSLE OSCILLATORS IN SYNCHRONIZATION TECHNOLOGY

Abstract.

Background. The research subject is controlled ring pulse oscillators for phase synchronization devices and data recovery during their transmission through a communication channel or recovery in a data storage device. The research topicality consists in the possibility of increasing the accuracy of synchronization due to phase interpolation of the period by a set of time-shifted pulses from a multiphase ring oscillator as a local generator of a digital phase synchronization system. The aim of the work is to create basic circuits and variants of practical execution of controlled ring oscillator circuits with direct and indirect frequency control (through phase control), as well as to estimate their main characteristics.

Materials and methods. The study of the main characteristics of controlled ring oscillators was performed using methods of the phase interpolation theory, pulse sensitivity functions, synthesis of digital electronic circuits.

УДК 681.58

DOI 10.21685/2072-3059-2017-1-3

Results. Technical principles of phase interpolation have been considered and new circuit-based solutions of controlled ring pulse oscillators have been created, their modulation and noise characteristics have been estimated.

Conclusions. The application of the proposed technical solutions and deduced calculation relationships in the digital adaptive synchronization technique of data transmission and storage systems makes it possible to increase the synchronization accuracy without increasing the clock frequency of such systems.

Key words: phase interpolation, synchronization, ring oscillator, delay element, impulse sensitivity function.

Введение

Наряду с фазовым компаратором [1] базовым узлом устройств фазовой синхронизации в системах передачи и хранения данных является управляемый генератор импульсов. В традиционном исполнении управляемый генератор представляет собой релаксационный генератор с времязадающим элементом - конденсатором, перестройка частоты в нем осуществляется изменением скорости перезаряда этого конденсатора. К таким устройствам относятся интегральные высокочастотные генераторы, выполняемые по схеме мультивибратора с эмиттерной связью [2] либо на основе триггера Шмитта, управляющего направлением перезаряда конденсатора [3].

В последние годы благодаря успехам интегральной технологии повысился интерес к кольцевым генераторам импульсов (КГ). Благодаря появившейся возможности электронной перестройки времени задержки распространения логических вентилей [4], используемых в качестве каскадов КГ, удалось преодолеть их главный недостаток - нестабильность частоты. Это обеспечило, в свою очередь, расширение поля применения КГ от генерирования тактов и фазовой синхронизации до прецизионного измерения интервалов времени. В то же время ряд вопросов проектирования и применения КГ остается открытым, в частности, нуждаются в дополнительном исследовании вопросы осуществляемой с помощью КГ фазовой интерполяции, методы управления их частотой и принципы технической реализации на программируемых пользователем вентильных матрицах (ППВМ) [5, 6].

Принцип фазовой интерполяции

Фазовая синхронизация в системах передачи и воспроизведения данных до настоящего времени осуществляется в основном аналоговыми устройствами двух типов: PLL (Phase Lock Loop - контур фазовой автоподстройки) и DLL (Delay Lock Loop - контур автоподстройки задержки). В устройстве DLL отсутствует местный управляемый генератор, его роль исполняет цифровая линия задержки, которая, впрочем, также охвачена цепью обратной связи, обеспечивающей равенство задержки опорному периоду.

Кольцевой генератор импульсов в устройстве PLL может использоваться как обычный однофазный управляемый генератор, удобный для исполнения в большой интегральной схеме. Однако наличие множества выходов КГ, импульсы на которых перекрывают период генерирования, предоставляет дополнительные возможности для построения устройств синхронизации повышенной точности благодаря тому, что использование всей совокупности выходов позволяет сократить шаг дискретизации времени. По существу КГ,

генерируя N распределенных в пределах периода колебаний, естественным образом интерполирует период, отмечая дополнительные точки отсчета времени (фазы).

Процесс разбиения некоторого опорного интервала времени (в данном случае периода) на равные части, которые отслеживают изменения интервала, составляет суть фазовой интерполяции (ФИ), в случае КГ можно говорить о непрерывной ФИ. При традиционном подходе дискретизацию временного интервала, ограниченного моментами ^ и ¿2, можно представить как заполнение этого интервала 5-импульсами, следующими с опорным периодом То :

(0 = l>(tl )-°('2)] Z 8( - kTo),

(1)

k=—»

где ) - единичная функция, или, что то же самое, с учетом конечной протяженности интервала:

nt{h! то }

;(0= Z 5(кТО )

k=ent{t1/ ТО }+1

(2)

здесь вп([х] - операция взятия целой части аргумента.

В отличие от обычной системы РЬЬ, в которой за один период местного генератора вырабатывается один импульс (рис. 1,а), в системе с мультифаз-ным КГ в каждом опорном периоде генерируется множество импульсов (рис. 1,6), каждый из которых может использоваться для отсчета процесса автоподстройки. Поскольку в реальной системе РЬЬ дестабилизирующие факторы проявляются в виде фазового дрожания (джиттера), которое накапливается в пределах периода, а также имеется технологическое рассогласование в задержках каскадов КГ, то позиции точек отсчета искажены (рис. 1,в), что следует учитывать при детальном анализе точности синхронизации.

сК сК

с>п

<5*

а)

б)

в)

Рис. 1. Фазовые диаграммы дискретизации времени: а - традиционная; б - с интерполяцией; в - с учетом джиттера

Поскольку КГ можно рассматривать как инструмент для цифрового отсчета фазового рассогласования (множество его выходов, по сути, представляет собой линейку фазовых субквантов То/N, где N - число фаз КГ), то

s

разность между моментами появления входного и выходного сигналов РЬЬ можно отобразить суммой решетчатых функций:

N-1 - / / . \ Л

,д (0 = [а(^ )-а(Г2 )]£ Е 8 I, - [к + -Ь]. (3)

Таким образом, применение КГ для фазовой синхронизации дает возможность построить полностью цифровую систему РЬЬ с присущей такой системе стабильностью. В то же время, поскольку шаг дискретизации времени уменьшается в N раз, применение КГ равносильно кратному повышению тактовой частоты, что, в свою очередь, расширяет рабочий диапазон частот цифровых устройств РЬЬ.

Устройства синхронизации на основе КГ

В цифровой системе РЬЬ возможен один из двух способов управления КГ, первый из которых предполагает непосредственное воздействие на его частоту, а второй - косвенное воздействие на частоту через направленный выбор его фазы, которая выводится в качестве фазы выходного сигнала. Также разными способами может оцениваться текущая фазовая разность между входным сигналом X и генерируемым устройством выходным сигналом У [7]. На рис. 2 представлены возможные структуры устройств синхронизации, отличающиеся формой дискриминационной характеристики фазового сравнения и ориентированные на работу с воспроизводимыми цифровыми сигналами данных, последовательность которых характеризуется случайностью ме-жимульсных интервалов. Задача устройств состоит в разделении битовых ячеек этой последовательности - восстановлении синхросигналов.

кг

-V

Селектор импульсов

X

RC-

."Тогичесхий

=1

КГ

Ol

Мультиплексор

ПК

5Z

БВ

ЦИ

X

а)

б)

Рис. 2. Интерполирующие системы фазовой синхронизации с релейной (а) и пилообразной (б) дискриминационными характеристиками

Схема, показанная на рис. 2,а [8], обладает релейной дискриминационной характеристикой фазового сравнения. Помимо КГ, она включает распределитель тактов в виде реверсивного сдвигающего регистра, замкнутого по объединенным информационным входам ОЯ, ОЬ своей совокупностью выходов через элемент ИЛИ-НЕ. Позиция «единицы» на одном из выходов распределителя тактов зависит от знака разности фаз входного X и выходного У

сигналов, при положительном знаке «единица» перемещается по разрядам регистра в одном направлении, при отрицательном - в обратном. В соответствии с позицией «единицы» селектор импульсов выбирает в качестве выходного сигнала У импульс с одного из выходов КГ. Поскольку в каждом цикле работы фаза У корректируется на я/N, то частотная полоса синхронизации устройства ограничена. Назначение выходного элемента ИСКЛЮЧАЮЩЕЕ ИЛИ заключается в расширении фазового диапазона КГ от свойственного ему 0...я до 0...2я путем инвертирования импульсов выходов под управлением логического блока.

В процессе автоподстройки в устройстве осуществляется регулирование фазы выходного сигнала У без воздействия на КГ, т.е. в отличие от обычной системы с перестройкой частоты по результату фазового сравнения выполняется фазовая автоподстройка фазы. В том случае, если частота КГ не отличается от тактовой частоты входных сигналов, то после перебора фаз КГ состояние синхронизма устанавливается не более чем через N тактов, в противном случае для установления синхронизма потребуется время ¿уст = N70/(1_ 2N8р), где 5р - относительная частотная расстройка КГ. Так как регулирование фазы проводится дискретно с шагом Дф = ± я/N, то это значение и составляет погрешность синхронизации. Следовательно, с увеличением числа фаз КГ точность повышается, однако сокращается полоса захвата. Устройство в целом можно описать уравнением первого порядка, т.е. оно обладает абсолютной устойчивостью.

Вторая схема (рис. 2,б) обладает пилообразной дискриминационной характеристикой, благодаря которой противоречие между точностью, подразумевающей увеличения числа фаз КГ, и шириной полосы синхронизации разрешается [9]. Включение цифрового интегратора (ЦИ) увеличивает порядок системы до второго, цифровой эквивалент фазы входного сигнала X образует в момент его поступления преобразователь кода (ПК), который преобразует термометрический код выходов КГ в двоичное число и снабжен регистром для запоминания результата. Интегратор, выполняющий попутно функции фильтра, определяет номер фазы КГ, подключаемой к выходу устройства У посредством мультиплексора, и, следовательно, число на его выходе отображает в цифровом виде фазу У. Фазовое сравнение сигналов X и У осуществляется путем вычитания их цифровых кодов в блоке вычитания (БВ). Второй порядок уравнения такого устройства требует внимания в части обеспечения устойчивости и качества переходного процесса.

В такой системе фазовое сравнение выполняется путем вычитания цифровых кодов фазы сигналов Х и У, которые отсчитываются по субшкале КГ. Отсчет и запоминание фазы импульса Х производится в момент его поступления преобразователем кода, цифровой код фазы У постоянно присутствует на выходе цифрового интегратора, определяющего адрес мультиплексора и выполняющего попутно функции фильтра. Наличие интегратора обусловливает второй порядок устройства, что требует анализа процессов в нем с целью обеспечения нужных динамических характеристик и выполнения условий устойчивости.

Основное требование к КГ как ядру устройства РЬЬ состоит в монотонности его модуляционной характеристики, под которой здесь понимается

зависимость фазы выбираемого выхода от адреса селектирующего мультиплексора и которая обеспечивается в КГ естественным образом, линейность характеристики не играет решающей роли. Шаг дискретизации времени в интерполирующем устройстве РЬЬ сокращается с уменьшением времени задержки каскада КГ и может быть доведен до времени задержки единственного логического вентиля, а при использовании локальной интерполяции и до субвентильного уровня.

Базовые схемы и параметры кольцевых генераторов

Кольцевой генератор импульсов строится из замкнутой цепи элементов задержки (ЭЗ), которыми могут служить и обычные логические вентили. Эта цепь создает в кольце задержку в половину периода колебаний, дополнительный фазовый сдвиг на к достигается введением фазоинвертирующего каскада. Генератор может строиться, например, на однотипных инверторах, количество которых, исходя из необходимости общей фазовой задержки 2к, должно быть нечетным (рис. 3,а [10]). Управление в цифровых системах, оперирующих с двоичными числами, обычно предполагает модули цифровых узлов 2", поэтому предпочтительным часто оказывается применение дифференциальных ЭЗ. Число каскадов в таком КГ может быть произвольным, дополнительное смещение на к обеспечивается перекрестным соединением выходов оконечного каскада с входами первого (рис. 3,6).

а)

J

и -a - w

6)

Рис. 3. Кольцевой генератор импульсов на основе инверторов (а) и дифференциальных буферов (6)

Кольцевой генератор устройства РЬЬ должен сопрягаться выходами с другими цифровыми узлами устройства, обеспечивать заданный фазовый шаг и «сшивание» множества фаз в пределах периода, необходимый диапазон перестройки частоты и линейность регулировочной характеристики, низкий уровень фазового шума. Для ускорения захвата путем принудительного фазирования РЬЬ в КГ может предусматриваться режим «старт - стоп».

Частоту генерируемых Л-каскадного КГ импульсов можно определить, полагая время задержки каждого каскада равным В схеме рис. 3,а по каскадам поочередно распространяются сначала «волна единиц» в течение первого полупериода, а затем «волна нулей» в течение второго полупериода. Так

как продолжительность каждого полупериода составляет NtD, то частота импульсов оказывается равной

f =-1-• (4)

2 N • tD

В схеме рис. 3,б на основе дифференциальных буферов можно уточнить время задержки одиночного каскада, приняв во внимание линейность процесса перезаряда емкости нагрузки СН током смещения каскада Io до середины логического перепада AUo/2 со скоростью Io/Ch , тогда значение частоты получается из (5) в виде

f =-10-• (5)

2 NCH AUo

Другие методики расчета исходят из упрощающих предположений, например о постоянном выходном сопротивлении каскада, когда его стоковые нагрузки выполняются в виде смещенных в линейную область МОП-транзисторов. Более точные методики анализа учитывают нелинейности межэлектродных емкостей транзисторов, они громоздки для практического применения.

Важнейшим показателем качества КГ являются его шумовые свойства, которые во временной области проявляются в виде фазового дрожания (джиттера) генерируемых импульсов - случайных отклонений их фронтов от номинальных позиций. Джиттер обусловлен как воздействием собственных шумов составляющих КГ электронных приборов, так и влиянием помех различной природы по сигнальным и питающим цепям.

Как инструмент анализа джиттера получил распространение метод функций импульсной чувствительности (ISF - Impulse Sensitivity Function) [11], сущность которого сводится к выяснению реакции генератора на 5-импульс тока площадью Aq, поступающий в момент t = т в емкость нагрузки каскада СН, и вызывающий мгновенное изменение напряжения на ней

Au = . (6)

ch

Приращение напряжения вызывает фазовый сдвиг импульса Аф, который можно оценить как

Аф = г(ю0с) AUo = r(iiiot) Ao' о

где AUo - размах напряжения на выходе каскада; AQo = Ch AUo - заряд, вызывающий его полное переключение.

Функция ISF (r(coot)) представляет собой нелинейный коэффициент,

который периодически изменяется, показывая в нормированных единицах отношение смещения фронта импульса Aф к заряду, введенному в емкость нагрузки.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Если инжектированный заряд не превышает 0,1 полного заряда переключения ДQo , то допустимо предположение о линейной связи фазового смещения с инжектированным зарядом. Поскольку величина заряда определяется воздействующим со стороны шумового источника током i (т), то фазовое смещение можно переписать как

Ф(') = J ^i(тут. (8)

-^

С течением времени фазовые смещения, обусловленные разными факторами, аккумулируются по-разному. Так, сдвиги, причиной которых являются тепловые шумы компонентов, статистически независимы, поэтому за время ДТ от начала наблюдения среднеквадратическое отклонение временного сдвига импульса определяется формулой [5]:

адТ = Ь/ДТ, (9)

где k - коэффициент пропорциональности, определяемый параметрами схемы. Другая группа факторов, например помехи в шинах питания, соответствует случаю полностью коррелированных источников шумов. В этом случае среднеквадратические отклонения фронтов импульсов отдельных каскадов за время ДТ суммируются, а полное значение Сдт оказывается пропорциональным с коэффициентом £ времени наблюдения:

адт = £ДТ . (10)

Фактически в схеме КГ имеются источники и независимых, и связанных друг с другом источников шумовых воздействий. За меру джиттера обычно принимается относительное значение среднеквадратического отклонения импульсов, приведенное к их периоду:

адф = 2—= co адт . (11)

Т0

Анализ джиттера позволяет выявить схемные средства его сокращения. Форма сигналов цифровых устройств в элементном базисе комплементарных МОП близка к трапецеидальной. Фронт и спад таких сигналов в фазовом исчислении составляет rnOtr и ccOtf (coO - угловая частота), а средняя задержка каскада по фазе Дфр пропорциональна длительности фронта:

Дфр = ^Дфг = ^ccOtr. (12)

Поскольку фазовое смещение импульса, вызванное импульсом шумового тока, приходящегося на фронт или спад импульса, обратно пропорционально скорости изменения напряжения duo/dф = 1/c>otr , то наибольшее значение функции импульсной чувствительности равно Tmax = c>otr . Тогда

1 2Л 1 cotr ( t )3

Г2фф = — J Г2 (ф)/ф = - J (ccotr )2 dф = . (13) 2— — —

0 0

Заметив, что в Л-каскадном КГ 2к = 2Л^со^^, из выражения (13) найдем

Г эф -

п

X-

1

N

1,5

(14)

Следовательно, джиттер становится меньше с ростом числа фаз КГ, следуя полуторастепенному закону. Как отмечалось выше, выполнение данной рекомендации способствует и повышению точности синхронизации в интерполирующей системе РЬЬ.

Управление частотой кольцевых генераторов

Регулирование частоты КГ может осуществляться аналоговыми и цифровыми средствами, в некоторых случаях (например в ППВМ) аналоговое управление в принципе невозможно. Цифровое управление частотой может осуществляться двояко - либо путем направленной селекции рабочего выхода КГ, что обеспечивает косвенное (через фазу) регулирование частоты, либо путем изменения количества каскадов КГ.

Вариант схемы КГ по первому способу, предполагающему непрерывный инкремент номера фазы КГ, формируемого цифровым аккумулятором в составе регистра и сумматора, представлен на рис. 4. Управляющий код X, добавляясь с помощью аккумулятора в каждом такте работы к текущему адресу мультиплексора, задает знак и скорость приращения адреса. В соответствии с новым адресом мультиплексор выбирает выход КГ, который и служит в текущем такте выходным импульсом У.

Рис. 4. Управляемый кодом генератор

Кольцевой генератор КГ имеет N = 2" выходов, импульсы на смежных выходах смещены на 2к/Л, разрядность аккумулятора равна " (его модуль

счета, следовательно, равен 2"). Положим для примера входное число X равным -1, а исходный адрес мультиплексора - нулевым. Тогда на выходе У ока-

зывается импульс с выхода КГ под номером 0, по окончании этого импульса, который поступает в аккумулятор как тактовый импульс регистра, адрес мультиплексора уменьшается на единицу и он подключает к выходу У выход КГ с номером (2" - 1). Импульс этого выхода отстает от импульса нулевого

2" -1

выхода на-X 2 л, что ввиду цикличности работы узлов устройства с мо-

2п

дулем 2" равноценно опережению на л/ 2" 1 . Таким образом, временной интервал между выходными импульсами КГ становится меньше периода КГ на величину = Т°/2" .

При произвольном значении управляющего кода X с каждым периодом генерирования адрес мультиплексора получает заданный инкремент, а период импульсов приобретает соответствующее приращение:

Ту = то + 2пто = [1 + X) то. (15)

При условии X << 2" частота выходных импульсов определяется формулой

/=(1 - X)1 Т°. (16)

Условие работоспособности описанного КГ заключается в обеспечении размежевания во времени выходных импульсов, которое ограничивает диапазон регулирования частоты границами ±50 %, для этого входной код должен

отвечать неравенству |Х| < 2" 1.

В представленной на рис. 5 структуре КГ, образованной замыканием состоящей из ЭЗ цифровой линии задержки (ЦЛЗ) через инвертор [12], период импульсов определяется количеством ЭЗ в кольце, которое устанавливает мультиплексор в соответствии со своим адресом. Частоту КГ задает управляющий код В, который подвергается предварительной модификации в преобразователе кода с целью уменьшения шага перестройки частоты. В данном варианте ПК выполнен в виде примитивного вычитателя, уменьшаемым В* в котором служит число, состоящее из " его старших разрядов (Ь"...Ь{), а вычитаемым - его же младший разряд Ь0, который берется либо в прямом, либо в инверсном виде в зависимости от того, какая волна (нулей или единиц) распространяется по каскадам КГ. Для этого в блоке ПК предусмотрен вентиль И-НЕ.

Когда по каскадам КГ проходит волна единиц (положительная полуволна колебаний) на выходе вентиля И-НЕ будет формироваться инверсия

младшего разряда Ь0 , если же по каскадам КГ распространяется уровень нуля, то на выходе этого вентиля будет удерживаться логическая «1». Благодаря такому механизму в течение положительной полуволны генерируемого импульса вычитатель передает на мультиплексор адрес

А+ = Ь"Ь"-1...Ь1 - Ьо, (17)

в противном случае

A -bnbn-i-h -1

(18)

Рис. 5. Кольцевой генератор с цифровым управлением частотой

Указанные адреса определяют продолжительности соответствующих полупериодов колебаний КГ. Полный период генерируемых импульсов оказывается равным

Т = Т+ + Т - = (Л+ +1УВ + (Л- + 1УВ = (Л+ + Л~+ 2УВ, (19)

где - время задержки каскада КГ. Так как

Л+ + Л~ = Ь"Ь"_1..Ь>1 -Ьо + Ъ"Ь"-\.Ь\ -1 = 2Ъ"Ъ"_у.Ъ1 -2 + (1 -Ьо) =

= 2Ь"Ь"-1 ..Ь1 - 2 + Ьо = Ь"Ь"-1..Ь1Ьо - 2 = В - 2, (20)

то подстановка этого значения в (19) дает

Т = ВТП . (21)

Диапазон перестройки периода Т располагается в пределах от 2^ до (2"+1 - 1)Ь .

Заключение

В работе рассмотрены принципы непрерывной фазовой интерполяции как метода сокращения шага дискретизации времени в цифровых системах синхронизации в приложении к схемотехнике кольцевых генераторов импульсов. Показано, что для повышения точности синхронизации и одновременно снижения уровня джиттера генерируемых импульсов необходимо увеличивать число фаз кольцевого генератора. Предложены варианты схем кольцевых генераторов с непосредственной и косвенной (через управление фазой) перестройкой частоты.

Библиографический список

1. Чулков, В. А. Техника фазового сравнения при восстановлении синхросигналов в информационных каналах систем хранения данных / В. А. Чулков // Известия высших учебных заведений. Поволжский регион. Технические науки. -2015. - № 1 (33). - С. 29-42.

2. Титце, У. Полупроводниковая схемотехника : справочное руководство / У. Титце, К. Шенк ; пер. с нем. под ред. А. Г. Алексенко. - М. : Мир. - 1983. -512 с.

3. Чулков, В. А. Высокочастотный генератор пилообразного напряжения / В. А. Чулков // Приборы и техника эксперимента. - 1980. - № 6. - C. 96-97.

4. Чулков, В. А. Управляемые КМОП элементы задержки для интерполирующих преобразователей информации / М. М. Бутаев, В. А. Чулков // Вопросы радиоэлектроники. Сер. ЭВТ. - 2010. - Вып. 5. - С. 130-140.

5. Чулков, В. А. Интерполирующие устройства синхронизации и преобразователи информации / В. А. Чулков. - М. : Физматлит, 2010. - 324 с.

6. Чулков, В. А. Кольцевые генераторы импульсов на ПЛИС / В. А. Чулков,

A. В. Медведев // Известия вузов. Приборостроение. - 2009. - № 12. - C. 50-53.

7. Чулков, В. А. Устройства синхронизации с элементами фазовой интерполяции /

B. А. Чулков // Известия вузов. Приборостроение. - 2009. - № 9. - C. 53-57.

8. Пат. 2267221 Российская Федерация, МПК H03L7/00. Цифровое устройство фазовой синхронизации / Чулков В. А. ; патентообладатель Пенз. гос. технолог. академия. - заявл. 12.04.2004 ; опубл. 27.12.2005.

9. Пат. 2119717 Российская Федерация, МПК H03L7/00; H04L7/033. Устройство фазовой синхронизации / Чулков В. А. ; патентообладатель Пенз. гос. технолог. академия. - заявл. 15.04.1997 ; опубл. 27.09.1998.

10. Razavi, B. A 2-GHz 1.6-mW Phase-Locked Loop / B. Razavi // IEEE Journal of Solid-State Circuits. - 1997. - May. - Vol. 32. - P. 730-735.

11. Hajimiri, A. A General Theory of Phase Noise in Electrical Oscillators / A. Hajimiri, T. H. Lee // IEEE Journal of Solid-State Circuits. - February 1998. -Vol. 33, № 2. - P. 179-194.

12. Пат. 2170490. МПК H03K5/14; H03L7/00; H03K5/156. Генератор импульсов с цифровой перестройкой периода / Чулков В. А. ; патентообладатель Пенз. технолог. институт. - заявл. 28.02.2000 ; опубл. 10.07.2001.

References

1. Chulkov V. A. Izvestiya vysshikh uchebnykh zavedeniy. Povolzhskiy region. Tekhnich-eskie nauki [University proceedings. Volga region. Engineering sciences]. 2015, no. 1 (33), pp. 29-42.

2. Tittse U., Shenk K. Poluprovodnikovaya skhemotekhnika: spravochnoe rukovodstvo [Semicunductor circuit design: a reference guide]. Transl. from German by A. G. Ale-ksenko. Moscow: Mir, 1983, 512 p.

3. Chulkov V. A. Pribory i tekhnika eksperimenta [Experimental devices and equipment]. 1980, no. 6, pp. 96-97.

4. Chulkov V. A., Butaev M. M. Voprosy radioelektroniki. Ser. EVT [Problems of radio electronics. Series: Computer Technology]. 2010, iss. 5, pp. 130-140.

5. Chulkov V. A. Interpoliruyushchie ustroystva sinkhronizatsii i preobrazovateli infor-matsii [Interpolating devices of synchronization and data converters]. Moscow: Fizmat-lit, 2010, 324 p.

6. Chulkov V. A., Medvedev A. V. Izvestiya vuzov. Priborostroenie [University proceedings. Instrument engineering]. 2009, no. 12, pp. 50-53.

7. Chulkov V. A. Izvestiya vuzov. Priborostroenie [University proceedings. Instrument engineering]. 2009, no. 9, pp. 53-57.

8. Pat. 2267221 Russian Federation, MPK H03L7/00. Tsifrovoe ustroystvo fazovoy sinkhronizatsii [A digital phase synchronization device]. Appl. 12.04.2004; publ. 27.12.2005.

9. Pat. 2119717 Russian Federation, MPK H03L7/00; H04L7/033. Ustroystvo fazovoy sinkhronizatsii [A phase synchronization device]. Appl. 15.04.1997; publ. 27.09.1998.

10. Razavi B. IEEE Journal of Solid-State Circuits. 1997, May, vol. 32, pp. 730-735.

11. Hajimiri A. A, Lee T. H. IEEE Journal of Solid-State Circuits. 1998, February, vol. 33, no. 2, pp. 179-194.

12. Pat. 2170490 Russian Federation, MPK H03K5/14; H03L7/00; H03K5/156. Generator impul'sov s tsifrovoy perestroykoy perioda [An impulse generator with digital period rearrangement]. Appl. 28.02.2000; publ. 10.07.2001.

Чулков Валерий Александрович доктор технических наук, доцент, заведующий кафедрой информационных технологий и менеджмента в медицинских и биотехнических системах, Пензенский государственный технологический университет (Россия, г. Пенза, пр-д Байдукова, 1А)

E-mail: chu@penzgtu.ru

Chulkov Valeriy Aleksandrovich Doctor of engineering sciences, associate professor, head of sub-department of information technologies and management in biomedical systems, Penza State Technological University (1a Baydukova lane, Penza, Russia)

УДК 681.58 Чулков, В. А.

Управляемые кольцевые генераторы импульсов в технике синхронизации / В. А. Чулков // Известия высших учебных заведений. Поволжский регион. Технические науки. - 2017. - № 1 (41). - С. 30-42. Б01 10.21685/ 2072-3059-2017-1-3

i Надоели баннеры? Вы всегда можете отключить рекламу.