Научная статья на тему 'GAS-PHASE PARAMETERS AND REACTIVE-ION ETCHING REGIMES FOR SI AND SIO2 IN BINARY AR + CF4/C4F8 MIXTURES'

GAS-PHASE PARAMETERS AND REACTIVE-ION ETCHING REGIMES FOR SI AND SIO2 IN BINARY AR + CF4/C4F8 MIXTURES Текст научной статьи по специальности «Физика»

CC BY
187
30
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ETCHING / POLYMERIZATION / FLUORINE ATOM FLUX / ION ENERGY FLUX / EFFECTIVE REACTION PROBABILITY

Аннотация научной статьи по физике, автор научной работы — Efremov Alexander M., Betelin Vladimir B., Mednikov Konstantin A., Kwon Kwang-Ho

The comparative study of plasma electro-physical parameters, steady-state gas phase compositions and reactive-ion etching kinetics for Si and SiO2 in binary CF4 + Ar and C4F8 + Ar gas mixtures were studied under conditions of 13.56 MHz inductive RF discharge. As fixed input parameters, we used the total pressure of feed gas (6 mTorr) as well as power levels supplied by plasma excitation source (700 W) and bias source (200 W). The investigation approach combined plasma diagnostics experiments with double Langmuir probe and 0-dimensional (global) model for the chemistry of neutral species. It was shown that investigated gas mixtures exhibit quite close properties in respect to both ions-related parameters and electron gas while are characterized by sufficient differences in kinetics of atoms and radicals. The features of C4F8 + Ar gas under the given set of processing conditions are the higher density of polymerizing radicals, the lower density of F atoms as well as the weaker sensitivity the last parameter to the change in Ar fraction in a feed gas. Etching experiments indicated that a) an increase in Ar fraction in CF4 + Ar and C4F8 + Ar gas mixtures results in qualitatively different changes in Si and SiO2 etching rates; and b) obtained dependencies of etching rates on Ar fraction in both gas mixtures contradict with the behavior of F atom flux. Obviously, such situation corresponds to the change in reaction probability of F atoms with the treated surface. It was suggested that an increase of Ar fraction in the low-polymerizing CF4 + Ar plasma activates the heterogeneous chemical reaction through the intensification of ion-stimulated desorption of etching products and/or surface amorphization. The similar effect for the high-polymerizing C4F8 + Ar plasma may be related to decreasing fluorocarbon film thickness that provides the better access of F atoms to the etched surface.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «GAS-PHASE PARAMETERS AND REACTIVE-ION ETCHING REGIMES FOR SI AND SIO2 IN BINARY AR + CF4/C4F8 MIXTURES»

Т 64 (6)

ИЗВЕСТИЯ ВЫСШИХ УЧЕБНЫХ ЗАВЕДЕНИЙ. Серия «ХИМИЯ И ХИМИЧЕСКАЯ ТЕХНОЛОГИЯ»

2021

V 64 (6) ChemChemTech 2021

DOI: 10.6060/ivkkt.20216406.6377 УДК: 537.525

ПАРАМЕТРЫ ГАЗОВОЙ ФАЗЫ И РЕЖИМЫ РЕАКТИВНО-ИОННОГО ТРАВЛЕНИЯ Si И SiO2 В БИНАРНЫХ СМЕСЯХ Ar + CF4/C4F8

А.М. Ефремов, В.Б. Бетелин, К.А. Медников, K.-H. Kwon

Александр Михайлович Ефремов*

Ивановский государственный химико-технологический университет, Шереметевский просп., 7, Иваново, Российская Федерация, 153000 E-mail: amefremov@mail.ru*

Владимир Борисович Бетелин, Константин Александрович Медников

ФГУ ФНЦ НИИСИ РАН, Нахимовский просп., 36, к.1, Москва, Российская федерация, 117218 E-mail: betelin@niisi.msk.ru, k.a.mednikov@gmail.com

Kwang-Ho Kwon

Korea University, 208 Seochang-Dong, Chochiwon, Korea, 339-800 E-mail: kwonkh@korea.ac.kr

Проведено сравнительное исследование электрофизических параметров плазмы, стационарного состава газовой фазы и закономерностей реактивно-ионного травления Si и SiOi в плазме бинарных смесей CF4 + Ar и C4F8 + Ar в условиях индукционного ВЧ 13,56 МГц разряда. Фиксированными параметрами процесса являлись давление плазмообразующего газа (6 мтор) и уровни мощности, подводимой от источников возбуждения плазмы (700 Вт) и смещения (200 Вт). Схема исследования включала диагностику плазмы зондами Лангмюра и 0-мерное (глобальное) моделирование плазмохимических процессов. Показано, что исследованные смеси проявляют близкие свойства ионной компоненты плазмы и электронного газа, но характеризуются существенными отличиями в кинетике атомов и радикалов. Особенностями системы C4F8 + Ar в исследованном диапазоне условий являются более высокие концентрации полимеробразующих радикалов, меньшие концентрации атомов фтора и более слабая зависимость их концентрации от доли Ar в смеси. При исследовании кинетики травления установлено, что а) увеличение доли аргона в смесях CF4 + Ar и C4F8 + Ar сопровождается качественно различным изменением скоростей травления Si и SiO2; и б) наблюдаемые зависимости скорости от доли Ar в обеих смесях не согласуются с поведением плотности потока атомов фтора. Очевидно, что такая ситуация соответствует изменению вероятности взаимодействия атомов с обрабатываемой поверхностью. Предположено, что рост содержания Ar в смеси CF4 + Ar со слабой полимеризационной нагрузкой на обрабатываемую поверхность активирует гетерогенные химические реакции за счет интенсификации ионно-стимулированной десорбции продуктов травления и/или аморфизации поверхности. Подобный эффект в смеси C4F8 + Ar с высокой полимеризацион-ной нагрузкой может быть отнесен к снижению толщины фторуглеродной полимерной пленки, что облегчает доступ атомов F к обрабатываемой поверхности.

Ключевые слова: Si, SiO2, травление, полимеризация, поток атомов фтора, поток энергии ионов, эффективная вероятность взаимодействия

Для цитирования:

Ефремов А.М., Бетелин В.Б., Медников К.А., Kwon K.-H. Параметры газовой фазы и режимы реактивно-ионного травления Si и SiO2 в бинарных смесях Ar + CF4/C4F8. Изв. вузов. Химия и хим. технология. 2021. Т. 64. Вып. 6. С. 25-34

For citation:

Efremov A.M., Betelin V.B., Mednikov K.A., Kwon K.-H. Gas-phase parameters and reactive-ion etching regimes for

Si and SiO2 in binary Ar + CF4/C4F8 mixtures. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.].

2021. V. 64. N 6. P. 25-34

GAS-PHASE PARAMETERS AND REACTIVE-ION ETCHING REGIMES FOR Si AND SiO2 IN BINARY Ar + CF4/C4F8 MIXTURES

A.M. Efremov, V.B. Betelin, K.A. Mednikov, K.-H. Kwon

Alexander M. Efremov*

Ivanovo State University of Chemistry and Technology, Sheremetevskiy ave., 7, Ivanovo, 153000, Russia

E-mail: efremov@isuct.ru *

Vladimir B. Betelin, Konstantin A. Mednikov

SRISA RAS, Nakhimovsky ave., 36, bld. 1, Moscow, 117218, Russia

E-mail: betelin@niisi.msk.ru, k.a.mednikov@gmail.com

Kwang-Ho Kwon

Korea University, 208 Seochang-Dong, Chochiwon, Korea, 339-800

E-mail: kwonkh@korea.ac.kr

The comparative study of plasma electro-physical parameters, steady-state gas phase compositions and reactive-ion etching kinetics for Si and SiO2 in binary CF4 + Ar and C4F8 + Ar gas mixtures were studied under conditions of 13.56 MHz inductive RF discharge. As fixed input parameters, we used the total pressure of feed gas (6 mTorr) as well as power levels supplied by plasma excitation source (700 W) and bias source (200 W). The investigation approach combined plasma diagnostics experiments with double Langmuir probe and 0-dimensional (global) model for the chemistry of neutral species. It was shown that investigated gas mixtures exhibit quite close properties in respect to both ions-related parameters and electron gas while are characterized by sufficient differences in kinetics of atoms and radicals. The features of C4F8 + Ar gas under the given set of processing conditions are the higher density of polymerizing radicals, the lower density of F atoms as well as the weaker sensitivity the last parameter to the change in Ar fraction in a feed gas. Etching experiments indicated that a) an increase in Ar fraction in CF4 + Ar and C4F8 + Ar gas mixtures results in qualitatively different changes in Si and SiO2 etching rates; and b) obtained dependencies of etching rates on Ar fraction in both gas mixtures contradict with the behavior of F atom flux. Obviously, such situation corresponds to the change in reaction probability of F atoms with the treated surface. It was suggested that an increase ofAr fraction in the low-polymerizing CF4 + Ar plasma activates the heterogeneous chemical reaction through the intensification of ion-stimulated desorption of etching products and/or surface amorphization. The similar effect for the high-polymerizing C4F8 + Ar plasma may be related to decreasing fluo-rocarbon film thickness that provides the better access of F atoms to the etched surface.

Key words: Si, SiO2, etching, polymerization, fluorine atom flux, ion energy flux, effective reaction probability

INTRODUCTION

Until now, silicon-based electronic devices continue to play the leading role in various branches of industry and science. The chemical basement of such devices is the silicon itself (as the substrate material) as well as various functional over- and under-layers which build the device structure. Among those, silicon dioxide plays an important role being used as gate dielectric, spacer material and passivating coating [1-3].

As real devices always have the complicated multi-level architecture, their fabrication procedure mandatory includes the patterning (the dimensional etching) of various silicon-based materials (SiO2, Si3N4, SiC) together with the silicon itself. In order to satisfy requirements to device dimension and performance, one mostly uses the reactive-ion etching (RIE) technique with fluorocarbon gas plasmas [2-4]. Such favorable combination provides the ability to adjust the output process characteristics (etching rate, anisot-ropy factor and etching selectivity) through contribu-

tions of chemical and physical etching pathways as well as through the balance between etching and surface polymerization. Accordingly, there were many experimental studies reported about RIE kinetics and mechanisms for Si and SiO2 in various fluorocarbon gas systems (for example, Refs. [5-13]). When summarizing basic features of both etching and polymerization processes on the plasma-etched surface interface, following conclusions can be made:

- The chemical etching pathway under conditions of conventional RIE process (gas pressure below 50 mTorr, ion bombardment energy above 200 eV) is mainly provided by F atoms.

- The chemical interaction of F atoms with Si surface occurs spontaneously even at nearly room temperatures (due to the formation of high volatile SiF4) and exhibits the weak sensitivity to the ion bombardment with energies below ~ 100 eV. Nevertheless, Si etching rate in high-polymerizing plasmas is controlled not only by the F atom density, but also depends on ion fluxes and ion energies. The latter reflects the contribution of the sputter etching as well as is caused by the change in polymer film thickness that influences the access of F atoms to the etched surface.

- The chemical interaction of F atoms with SiO2 surface has the sufficient energy threshold (as the Si-O bond of ~ 799 kJ/mol is stronger than the Si-F one ~ 552 kJ/mol [14]) and cannot occur spontaneously at typical process temperatures. Due to this reason, the chemical etching of SiO2 by F atoms requires preliminary Si-O bonds breaking by the ion bombardment and thus, is controlled by the ion flux at Si < 200 eV. At the same time, the higher ion energies lead to the domination of the chemical etching pathway controlled by the F atom flux. At least, SiO2 etching rate in the CF4 + O2 plasma shows the maximum at 30-40% O2, as it was repeatedly mentioned for F atom density [15-17].

- An effective tool to adjust the balance between etching and polymerization effects is to mix a fluorocarbon gas with Ar and/or O2 [1, 2, 18]. The fraction of additive component does influence kinetics of F atoms and polymerizing radicals in a gas phase as well as changes decomposition rates of fluorocar-bon polymer films through both physical and chemical mechanisms. The steady-state thickness of polymer film on SiO2 is lower than that on Si under one and the same processing conditions [5-7]. The reason is etching of polymer by oxygen atoms on the film/SiO2 interface [18].

When analyzing above results, one can formulate at least two important problems which require

more attention and research efforts. First, most of experimental works did not discuss both etching and polymerization effects with accounting for plasma parameters and plasma chemistry. As such, even very detailed and accurate studies [5-11] said nothing about mechanisms which transfer the change in operating conditions to heterogeneous process kinetics. And secondly, data for various gas systems and materials correspond to different processing conditions and reactor types. Accordingly, since those cannot be compared directly, some uncertainty in the selection of optimal gas chemistry for the purpose of given RIE process still does exist.

Our previous works suggested the advanced investigation scheme which combines etching experiments with plasma diagnostics by Langmuir probes and modeling of plasma chemistry [12, 13, 19-21]. Such an approach allowed one a) to understand how processing parameters do effect on electrons- and ions-related plasma characteristics; b) to determine key gas-phase reaction pathways influencing steady-state densities of F atoms and polymerizing radicals; and c) to analyze etching mechanisms with model-predicted fluxes of plasma active species. The main idea of given study was to above research scheme to RIE process of Si and SiO2 in CF4 + Ar and C4F8 + Ar plasmas. Since corresponding fluorocarbon components are very different in their polymerizing abilities [18], the comparative study may clearly demonstrate differences in corresponding etching regimes.

EXPERIMENTAL AND MODELING DETAILS

Experimental setup and procedures

Both plasma diagnostics and etching experiments were performed in the planar inductively coupled plasma (ICP) reactor, the same as that used in our previous studies [12, 13]. Plasma was produced using the 13.56 MHz rf generator connected to the 5-turn copper coil on the top side of reactor chamber. Another rf power source with 12.56 MHz biased the bottom electrode. The latter was to set the ion bombardment energy according to the negative dc bias voltage (-Udc). Constant processing parameters were total gas flow rate (q = 40 sccm), gas pressure (p = 6 mTorr), input power (Wmp = 700 W) and bias power (Wdc = 200 W). As a variable value, we used the component mixing ratio in a feed gas. This parameter was adjusted by partial flow rates of individual gases (qi) within the constant total gas flow rate. Therefore, the composition of gas mixture coming to the reactor chamber was characterized by component fractions of yi = qi/q.

Plasma diagnostics by the double Langmuir probe (DLP2000, Plasmart Inc.) delivered data on

electron temperature (Te) and ion current density (J+). The treatment of measured voltage-current curves followed for the well-known statements of the double Langmuir probe theory [22]. In order to minimize the contamination of probe tips by the fluorocarbon polymer, these were treated before and after each measurement in the 50% Ar + 50% O2 plasma [19-21].

Etching kinetics for Si and SiO2 were studied using fragments of non-oxidized or oxidized Si(100) wafer. Etched samples with a size of ~ 2x2 cm were placed on the bottom electrode and centered in radial direction. The bottom electrode had a built-in water-flow cooling system that allowed one to maintain its temperature (Ts) at the nearly constant value within the processing times x ~ 5 min. The small sample size allowed one to neglect the loading effect as well as to provide the etching regime controlled by heterogeneous process kinetics. Etched depths (Ah) were determined using the surface profiler (Alpha-Step 500, Tencor) for the processing time x = 1 min. For this purpose, we developed a partial surface masking by the photoresist AZ1512 with a thickness of ~ 1.5 ^m. The quasi-linear shape of Ah = f(x) curves in both gas systems pointed out on the steady-state etching regime. Accordingly, Si and SiO2 etching rates were simply calculated as R = Ah/x.

Plasma modeling

Relationships between gas mixing ratios and steady-state densities of plasma active species were studied using a simplified 0-dimensional (global) model. Detailed information on model assumptions and algorithm may be found in Refs. [12, 13, 21]. Kinetic schemes (sets of reactions with related rate coefficients) were taken from our earlier works devoted to of CF4 + Ar/O2 [16, 23, 24] and C4F8 + A/O2 [13, 20, 21] plasmas. These works have confirmed that a combination of given kinetic schemes with given modeling approach allows one to obtain the correct description of plasma chemistry in gas systems of our interest. As input model parameters, we used experimental data on Te and J+. The latter yielded the total density of positive ions n+ as well as the electron density ne under an assumption of ne « n+. The neutral gas temperature (Tgas) was assumed to be ~ 600 K, as the typical value for close processing conditions, reactor type and geometry [12, 13]. As output parameters, we obtained steady-state densities of neutral species and their fluxes to the treated surface.

Phenomenological analysis of heterogeneous

kinetics

The basic features of reactive-ion etching processes in fluorocarbon-based plasmas have been discussed in detail in Refs. [5-13, 19, 21, 28]. Accord-

ing to these works, basic approaches for the analysis of etching and polymerization kinetics may be summarized as follows:

- Under conditions of conventional RIE process, the measured etching rate R represents the combination of two summands, Rphys + Rchem. These correspond to sputter and chemical etching pathways, respectively.

- The rate of physical etching pathway Rphys (in our case - the sputtering of both target surface and fluorocarbon polymer film, the breaking of Si-O bonds and the desorption of low-volatile reaction products) is YsF+, where Ys is the ion-type-averaged process yield, and r+ « J+/e is the ion flux. When taking in mind that Ys ~ (MiSi)1/2, the change in any physical etching pathway may be traced by the parameter (MiSi)1/2T+, characterizing the ion energy flux.

- The rate of chemical etching pathway Rchem (in our case - the interaction of F atoms with Si and SiO2 surfaces) is YrTf, where Tf is the flux of F atoms with the gas-phase density [F], and yr « s0(1-0) is the effective reaction probability. The last parameter exhibits the exponential-like dependence on the temperature of etched surface (through the sticking coefficient s0, as follows from the Arrhenius law) as well as is sensitive to any plasma-related factor influencing the balance between free adsorption sites (1 - 0) and those passivated by reaction products and/or non-reactive species 0. In polymerizing plasmas, yR decreases with increasing thickness of the fluorocarbon polymer film when the latter reached the threshold thickness that provides Ff'/Tf << 1, where Tf' is the flux of F atoms on the polymer film/etched surface interface.

- The growth of polymer layer is provided by CFx (x = 1, 2) radicals as well as appears to be slower in fluorine-rich plasmas. Therefore, the Tpol/TF ratio, where Tpol is the total flux of polymerizing radicals, surely characterizes the polymer deposition rate, and the parameter rpol/(MiSi)1/2T+rF traces the change in the polymer film thickness.

RESULTS AND DISCUSSION

Correlations of processing conditions (gas pressure, input power and bias power) with electrons-and ions-related plasma parameters in CF4 and C4F8 gases have been studied in earlier works [24-27]. Due to this reason, below we will analyze only on differences between CF4 + Ar and C4F8 + Ar plasmas under the condition of p, Wmp = const. According to Table, the main features may be formulated as follows:

- The electron temperature exhibits systematically higher values in C4F8 + Ar plasma as well as is characterized by somewhat different change vs. Ar

content in a feed gas. The first phenomenon looks rather unexpectedly, as the C4F8 plasma contains bigger multi-atomic species (Fig. 1) with potentially more effective electron energy loss channels. At the same time, the total density of C2F4, C2F3 and CF4 compounds in this gas system is lower compared with that for less-saturated CFx (x < 3) radicals. As such, assuming that exactly these species provide the major electron energy consumption in C4F8 plasma, higher Te values may be related to lower energy losses for vibrational and electronic excitations compared with those for CF4 molecules. An increase in Ar fraction in CF4 + Ar mixture lowers electron energy loss for excitations of fluorocarbon species, but causes the nearly-proportional increase in the energy loss for ionization. The last effect is due to the condition siki < S2k2, where R1: CF4 + e ^ CF3+ + F + 2e (si = 15.9 eV, ki = 1.6x10-9 cm3/s at Te = 3 eV) and R2: Ar + e ^ Ar+ + 2e (S2 = 15.6 eV, k2 = 3.0x10-9 cm3/s at Te = 3 eV). That is why the change in CFVAr mixing ratio at p, Winp = const results in Te « const. At the same time, and increase of yAr in C4F8 + Ar plasma causes the much stronger increase in electron energy losses for ionization because of S3k3 < S1k1, where R3: CF2 + e ^ ^ CF2+ + 2e with S3 = 10.0 eV and k3 = 2.1x10-9 cm3/s at Te = 3 eV. Such situation produces a decrease in Te toward Ar-rich plasmas.

Table

Electrons- and ions-related plasma parameters in CF4 + Ar and C4F8 + Ar plasmas Таблица. Параметры электронной и ионной компонент в плазме CF4 + Ar and C4F8 + Ar

YAr, % CF4 + Ar C4F8 + Ar

Te, eV n+, cm-3 -Udc,V Te, eV n+, cm-3 -Udc,V

0 3.6 4.4-1010 262 4.7 3.9-1010 278

25 3.6 4.5-1010 249 4.5 4.4-1010 249

75 3.8 5.8-1010 188 3.8 8.3-1010 198

- The plasma density exhibits quite close absolute values in both gas systems as well as is characterized by similar changes vs. Ar content in a feed gas. A bit higher n+ in pure CF4 plasma at yAr < 30% is due to slower ion transport to chamber walls under the condition of close total ionization frequencies, as follows from ki « k3. An increase in both n+ and ne toward Ar-rich plasmas is provided by the growth in total ioniza-tion frequencies. Such situation results from a combination of two conditions, such as a) the domination of k2 over ki and k3 due to higher ionization cross-section for Ar atoms; and b) the effective ionization of meta-stable atoms Arm = Ar(3Po,u) through R4: Arm + e ^ ^ Ar+ + 2e. The systematically higher ion current density (and thus, the ion flux) in the C4F8 + Ar plasma (J+ = 1.05-2.36 mA/cm2 for C4F8 + Ar vs.

0.95 - 1.63 mA/cm2 for CF4 + Ar and at 0-75% Ar) is due to differences in masses and transport coefficients for dominant positive ions.

- The negative dc bias at Wdc = const exhibits slightly higher values in C4F8 + Ar plasma as well as always decrease with increasing yAr. That is why, there are no principal differences in both absolute values and tendencies for ion bombardment energies. Corresponding ranges are 284-210 eV for CF4 + Ar and 308-220 eV for C4F8 + Ar at 0-75% Ar. As a results, the C4F8 + Ar plasma provides the systematically higher ion bombardment intensity, as can be seen from differences in (MiSi)1/2r+ (Fig. 2).

In the CF4 + Ar plasma, dominant fluorine-containing components are CF4 molecules together with CF3 radicals and F atoms (Fig. 1(a)). The decreasing densities of CFx radical toward lower "x" values ([CF3] > [CF2] > [CF] [24, 25]) is due to their consequent formation mechanism in R5: CFx + e ^ CFx-1 + F + e as well as because of higher heterogeneous loss probabilities (sticking coefficients) for lower saturated CFx species. The main formation pathways for fluorine atoms are R1 and R5 with x = 3 and 4. The loss of fluorine atoms is mainly due to a couple of heterogeneous processes R6: F + F ^ F2 and R7: F + CFx ^ CFx+1 while corresponding gasphase counterparts contribute the total recombination rate by less than 10%. The dilution of CF4 by argon does not introduce new process for the production of F atoms, but influences the electron impact dissociation kinetics for CFx species due to the simultaneous increase in Te and ne. In particular, one can obtain an increase dissociation frequencies (16.1-34.3 s-1 for CF4 in R1 + R5, 28.7-51.1 s-1 for CF3 in R5 and 40.174.2 s-1 for CF in R5 at 0-75% Ar) as well as slower changes in densities of F atoms (by ~ 1.8 times at 075% Ar) and polymerizing radicals (by -1.4 times for [CF] + [CF2] at 0-75% Ar).

In the C4F8 + Ar plasma, dominant F atom carriers are fluorocarbon components CFx (x = 1, 2, 3) and C2Fx (x = 3, 4) [22, 26, 27] (Fig. 1(b)). These are either first-step dissociation products appeared through R8: C4F8 + e ^ 2C2F4 + e and R9: C4F8 + e ^ ^ C3F6 + CF2 + e or fragments from the further decomposition of corresponding species in electron-impact processes. Among latters, the most important are R5 for x = 2, R10: C3F6 + e ^ C2F4 + CF2 + e, R11: C2F4 + e ^ 2CF2 + e and R12: C2F4 + e ^ C2F3 + +F + 2e. The main source of F atoms is represented by R5 for x = 1, 2, 3 while their decay is noticeably contributed by R13: C2F4 + F ^ CF2 + CF3 (k13 - 4-10-11 cm3/s). An increase in yAr does not affect the efficiency of electron-impact reactions (due to opposite changes in

Te and ne) and thus, causes the nearly proportional decrease in densities of fluorocarbon radicals (for example, by - 3.8 times for [CF] + [CF2] at 0-75% Ar). At the same time, the effect of yAr on the F atom density is much weaker (by - 1.7 times at 0-75% Ar) and is comparable with that in CF4 + Ar plasma. The last feature is not connected with electron-impact kinetics, but comes from the rapidly decreasing F atom decay frequency in the gas-phase reaction R13.

Above data allow one to conclude that the C4F8 + Ar plasma provides the much higher density of polymerizing radicals (mostly due to higher formation rates of CF2 in R9, R11 and R13) while demonstrates only a bit lower density of F atoms (mostly due to their higher decay frequency in R13). Accordingly, the difference between fluxes of polymerizing radicals and polymer deposition rates in these gas systems reaches two orders of magnitude (Figs. 2(a, b)). The similar gap is also between steady-state thicknesses of polymer films, as can be seen from Fig. 2(d). The last conclusion is in the evident agreement with F/C ratios for corresponding fluorocarbon molecules.

10" r

c:fJ c:Fi CT4 CFi CF: ^ F:

Particle

Fig. 1. Steady-state densities of neutral species in CF4 + Ar (a) and C4F8 + Ar (b) plasmas. Black bars correspond to pure fluorocarbon gas while grey bars are for mixtures with 50% Ar Рис. 1. Стационарные концентрации нейтральных частиц в плазме CF4 + Ar (а) and C4F8 + Ar (б). Черные столбцы относятся к чистому фторуглеродному газу, а серые - к смесям, содержащим 50% Ar

Important features of the CF4 + Ar plasma are nearly constant values of Tpol/rF and Fpol/(MiSi)1/2r+rF under the condition of yAr = 0-75%. In fact, this points out on the weak effect of CFVAr mixing ratio on both polymer deposition rate and its residual amount on the plasma-treated surface. Similar results were obtained in Ref. [5] by experiments. Oppositely, an in-

crease of yAr in the C4F8 + Ar plasma leads to the nearly proportional (by - 3.8 times at 0-75% Ar) decrease in the parameter Tpol/(Mi8 i)1/2T+rF and thus, causes the similar change in the polymer film thickness. As such, the variation of C4F8/Ar mixing ratio provides a real tool to adjust both polymer film thickness and related output characteristics of RIE process.

In etching experiments, it was found that etching rates of Si and SiO2 in pure Ar plasma (in fact, rates of physical sputtering, Rphys) are quite close and do not exceed 10 nm/min. Obviously, corresponding values are much lower compared with those measured in fluorocarbon-containing gas mixtures, as shown in Fig. 3. When analyzing these data together with fluxes of plasma active species, some suggestions about etching mechanisms and related effects can be made. First, the condition Rphys << R means that the dominant etching pathway in all cases is the ion-assisted chemical reaction. Second, identical shapes of R = f(yAr) curves for Si and SiO2 for each gas system probably reflect the situation that corresponding etching processes are provided by same active species and have same limiting stages. At least, this suggestion is supported by the experimental study made by Standaert et. al [5]. And thirdly, the evident dissimilarity in etching rate behaviors in Figs. 3(a) and 3(c) point out on

t different etching regimes in CF4- and C4F8-based plasmas. According to previous etching experience, one can suggest that the low-polymerizing CF4 + Ar plasma is featured by a the ion-assisted chemical reaction under the condition of thin or even non-continuous polymer film. Oppositely, the high-polymerizing C4F8 + Ar plasma provides the

C etching regime controlled by the polymer thickness through the transport of etchant I- species to the film/etched surface interface. ' In order to verify above suggestions

concerning etching regimes, it is necessary to analyze correlations between Rchem and fluxes of plasma active species. In particular, non-monotonic Si and SiO2 etching rates from Fig. 3(a) contradict with monotonic changes in both (Mi8 i)1/2r+ and Tf as well as correspond to monotonically increasing effective reaction probabilities yr = Rchem/TF (Fig. 3b), where Rchem = R - Rphys. Obviously, an increase in yr with increasing Ar fraction in a feed gas cannot be associated with the polymer film thickness, as follows from very weak changes of both Tpol/TF and rpol/(Mi8i)1/2r+rF in the range of 0-75% Ar. At the same time, the good correlation between yr and (Mi8i)1/2T+ (Fig. 2c) allows one to assume that the ion bombardment activates heterogeneous chemical reaction through both desorp-

tion of reaction products and formation of adsorption sited for F atoms. In fact, this is a typical situation for the ion-assisted chemical reaction in non-polymerizing plasmas [18, 29, 30]. In addition, similar etching behaviors for Si and SiO2 surely mean that the SiO2 etching rate is not limited by the production of adsorption sites for F atoms in the ion-driven process SiOx(s.) ^ Si(s.) + xO. Probably, such situation results from the high ion energy flux that provides the fast destruction of oxide bonds on the plasma-etches surface interface.

Monotonically increasing Si and SiO2 etching rates from Fig. 3(c) also contradict with the change of Tf and also correspond to increasing effective reaction

probabilities (Fig. 3d). The main difference with a previous case is that the change of yr not only follow the tendency of (Mi8i)1/2T+, but also demonstrates an agreement with a decrease in the polymer film thickness, as can be seen from Fig. 2(d). Taking into account high polymerizing ability of C4F8-based plasmas, one can reasonably suggest that a) the RIE etching process in pure C4F8 plasma is limited by the transport of etchant species through the thick polymer film; and b) the transition to Ar-rich plasmas activates chemical reaction by providing the better access of F atoms to the etched surface. Therefore, the formally similar changes in yr vs. yAr in CF4 + Ar and C4F8 + Ar plasmas are caused by different mechanisms.

1,4

1,2

> и

ч4 1,0

0,8

20

40

60

80

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

У,

Ar

%

10"1

>

и

21* 10-1

10-1

0 20 40 60

80

Уа

, %

c d

Fig. 2. Gas-phase-related parameters characterizing kinetics of etching and polymerization processes in CF4 + Ar (1) and C4F8 + Ar (2) plasmas: a) total flux of polymerizing radicals; b) Tpol/TF ratio characterizing the polymer deposition rate; c) parameter (MiSi)1/2r+ characterizing the polymer physical etching (sputtering) rate; and d) parameter rpol/(MiSi)1/2r+rF which traces the polymer film thickness Рис. 2. Параметры газовой фазы, характеризующие кинетику травления и полимеризации в плазме CF4 + Ar (1) и C4F8 + Ar (2): а) суммарный поток полимеробразующих радикалов; б) отношение Tpol/rF, характеризующее скорость осаждения полимера; в) параметр (MiSi)1/2r+, характеризующий скорость травления полимера; и с) параметр rpol/(Msi)1/2r+r отслеживающий толщину

полимерной пленки

2

2

1

0

180

160

140

м 120

е 15

Ы

100

80

0

SiO„

80

0,15 г

0,10

0,05

0,00

80

20 40 60 80 0 20 40 60

Удг in C4F8 + Ar, % y in C.F, + Ar, %

J Ar 4 8 '

c d

Fig. 3. Etching rates (a, c) and effective reaction probabilities for F atoms (b, d) CF4 + Ar (a, b) and C4F8 + Ar (c, d) plasmas Рис. 3. Скорости травления (а, b) и эффективные вероятности взаимодействия для атомов фтора (c, d) в плазме CF4 + Ar (а, b)

and C4F8 + Ar (c, d)

b

a

CONCLUSIONS

In this work, we discussed in a comparative scale reactive-ion etching kinetics and mechanisms for Si and SiO2 in CF4 + Ar and C4F8 + Ar plasmas.

It was shown that an increase in Ar faction in a feed gas always a) results in sufficient changes in plasma density (due to changes in total ionization rate); b) increases the intensity of ion bombardment; and c) causes the lower-than-proportional decrease in F atom density. The last phenomenon is due to either an increase in electron-impact dissociation frequencies for CFx species (in the case of CF4 + Ar plasma) or a decrease in F atom loss frequencies in gas-phase reactions (in the case of C4F8 + Ar plasma). Specific features of C4F8 + Ar plasma under the given set of processing conditions are the higher polymerization ability (as follows from lower F/C ration in the origi-

nal fluorocarbon molecule) as well as the lower F atom density. It was found that the dominant etching mechanism in all cases is the chemical etching pathway while corresponding etching rates do not follow the behavior of F atom flux. This fact points out on the process-condition-dependent effective reaction probability for F atoms. The analysis of correlations between effective reaction probability and gas-phase-related plasma parameters allowed one to suggest limiting stages and etching regimes. As a result, it was concluded that the formally similar changes of effective reaction probability for F atoms in CF4 + Ar and C4F8 + Ar plasmas are due to different heterogeneous effects.

The research were carried out within the framework of the state assignment of the Federal State Institution «Scientific Research Institute for Sys-

tem Analysis of the Russian Academy of Sciences» (fundamental research) on subject No. 0580-20210006 "Fundamental and applied research in the field of lithography limits in semiconductor technologies as well as physical and chemical etching processes for 3D nanometer dielectric structures for the development of critical technologies for the production of ECB. Investigations and developments of both models and constructions for microelectronic elements in the extended temperature range (from -60С to +300С) ".

Работа выполнена в рамках государственного задания ФГУ ФНЦ НИИСИ РАН (проведение фундаментальных научных исследований) по теме № 0580-2021-0006 «Фундаментальные и прикладные исследования в области литографических пределов полупроводниковых технологий и физико-химических процессов травления 3D нано-метровых диэлектрических структур для развития критических технологий производства ЭКБ. Исследование и построение моделей и конструкций элементов микроэлектроники в расширенном диапазоне температур (от -60С до +300С)».

REFERENCES ЛИТЕРАТУРА

1. Nojiri K. Dry etching technology for semiconductors. Tokyo: Springer Internat. Publ. 2015. 116 p.

2. Wolf S., Tauber R.N. Silicon Processing for the VLSI Era. V. 1. Process Technology. New York: Lattice Press. 2000. 890 p.

3. Advanced plasma processing technology. New York: John Wiley&Sons Inc. 2008. 479 p.

4. Donnelly V. M., Kornblit A. Plasma etching: Yesterday, today, and tomorrow. J. Vac. Sci. Technol. 2013. V. 31. P. 050825-48. DOI: 10.1116/1.4819316.

5. Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S., Dalton T.J. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A. 2004. V. 22. P. 53-60. DOI: 10.1116/1.1626642.

6. Schaepkens M., Standaert T.E.F.M., Rueger N.R., Sebel P.G.M., Oehrlein G.S., Cook J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled flu-orocarbon plasmas and a comparison with the SiO2-to-Si mechanism. J. Vac. Sci. Technol. A. 1999. V. 17. P. 26-37. DOI: 10.1116/1.582108.

7. Matsui M., Tatsumi T., Sekine M. Relationship of etch reaction and reactive species flux in C4Fs/Ar/O2 plasma for SiO2 selective etching over Si and Si3N4. J. Vac. Sci. Technol. A. 2001. V. 19. P. 2089-2096. DOI: 10.1116/1.1376709.

8. Kastenmeier B.E.E., Matsuo P.J., Oehrlein G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A. 1999. V. 17. P. 3179-3184. DOI: 10.1116/1.582097.

9. Li X., Ling L., Hua X., Fukasawa M., Oehrlein G.S., Barela M., Anderson H.M. Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas. J. Vac. Sci. Technol. A. 2003. V. 21. P. 284-293. DOI: 10.1116/1.1531140.

10. Shankaran A., Kushner M.J. Etching of porous and solid SiO2 in Ar/c-C4F8, O2/c-C4F8 and Ar/O2/c-C4F8 plasmas. J. Appl. Phys. 2005. V. 97. P. 023307-17. DOI: 10.1063/1.1834979.

11. Lele C., Liang Z., Linda X., Dongxia L., Hui C., Tod P. Role of CF2 in the etching of SiO2, Si3N4 and Si in fluoro-carbon plasma. J. Semicond. 2009. V. 30. P. 033005-14. DOI: 10.1088/1674-4926/30/3/03 3005.

12. Son J., Efremov A., Chun I., Yeom G. Y., Kwon K.-H On the LPCVD-Formed SiO2 Etching Mechanism in CF4MJ/O2 Inductively Coupled Plasmas: Effects of Gas Mixing Ratios and Gas Pressure. Plasma Chem. Plasma Proc. 2014. V. 34. P. 239-257. DOI: 10.1007/s11090-013-9513-1.

13. Lee J., Efremov A., Yeom G. Y., Lim N., Kwon K.-H Application of Si and SiO2 Etching Mechanisms in CF4/C4F8/Ar Inductively Coupled Plasmas for Nanoscale Patterns. J. Na-nosci. Nanotechnol. 2015. V. 15. P. 8340-8347. DOI: 10.1166/jnn.2015.11256.

14. Handbook of Chemistry and Physics. New York: CRC Press. 2014. 2704 p.

15. Mogab C.J., Adams A.C., Flamm D.L. Plasma etching of Si and SiO2 - The effect of oxygen additions to CF4 plasmas. J. Appl. Phys. 1978. V. 49. P. 3796-3803. DOI: 10.1063/1.325382.

16. Kimura T., Noto M. Experimental study and global model of inductively coupled CF4/O2 discharges. J. Appl. Phys. 2006. V. 100. P. 063303-12. DOI: 10.1063/1.2345461.

17. Schoenborn P., Patrick R., Baltes H.P. Numerical simulation of a CF4/O2 plasma and correlation with spectroscopic and etch rate data. J. Electrochem. Soc. 1989. V. 136. P. 199-205. DOI: 10.1149/1.2096585.

18. Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. New York: John Wiley&Sons Inc. 2005. 757 p.

19. Efremov A., Murin D., Kwon K.-H Plasma parameters, densities of active species and etching kinetics in C4F8+Ar gas mixture. ChemChemTech [I zv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2019. V. 62. N 2. P. 31-37. DOI: 10.6060/ivkkt.20196202.5791.

Ефремов А.М., Мурин Д.Б., Квон К.Х. Параметры плазмы, концентрации активных частиц и кинетика травления в смеси C4Fs+Ar. Изв. вузов. Химия и хим. технология. 2019. Т. 62. Вып. 2. С. 31-37.

20. Efremov A.M., Murin D.B., Kwon K.H. Plasma parameters and active species kinetics in CF4+C4F8+Ar gas mixture. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2018. V. 61. N 4-5. P. 31-36. DOI: 10.6060/tcct.20186104-05.5695.

Ефремов А.М., Мурин Д.Б., Квон К.Х. Параметры плазмы и кинетика активных частиц в смеси CF4+C4F8+Ar. Изв. вузов. Химия и хим. технология. 2018. Т. 61. Вып. 4-5. С. 31-36

21. Chun I., Efremov A., Yeom G. Y., Kwon K.-H. A comparative study of CF4/O2/Ar and C4Fs/O2/Ar plasmas for dry etching applications. Thin Solid Films. 2015. V. 579. P. 136-143. DOI: 10.1016/j.tsf.2015.02.060.

22. Shun'ko E.V. Langmuir probe in theory and practice. Boca Raton: Universal Publishers. 2008. 245 p.

23. Efremov A., Lee J., Kim J. On the Control of Plasma Parameters and Active Species Kinetics in CF4 + O2 + Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratios. Plasma Chem. Plasma Proc. 2017. V. 37. P. 1445-1462. DOI: 10.1007/s11090-017-9820-z.

24. Kimura T., Ohe K. Probe measurements and global model of inductively coupled Ar/CF4 discharges. Plasma Sources Sci. Technol. 1999. V. 8. P. 553-560. DOI: 10.1088/0963-0252/8/4/305.

25. Efremov A., Lee J., Kwon K.-H. A comparative study of CF4, Cl2 and HBr + Ar Inductively Coupled Plasmas for Dry Etching Applications. Thin Solid Films. 2017. 629. P. 39-48. DOI: 10.1016/j.tsf.2017.03.035.

26. Rauf S., Ventzek P.L. Model for an inductively coupled Ar/c-C4Fs plasma discharge. J Vac. Sci. Technol. A. 2002 V. 20. P. 14-23. DOI: 10.1116/1.1417538.

27. Kokkoris G., Goodyear A., Cooke M., Gogolides E. A global model for C4F8 plasmas coupling gas phase and wall surface reaction kinetics. J. Phys. D. Appl. Phys. 2008. 41. P. 195211-23. DOI: 10.1088/0022-3727/41/19/195211.

28. Gray D.C., Tepermeister I., Sawin H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma-etching. J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243-1257. DOI: 10.1116/1.586925.

29. Jin W., Vitale S.A., Sawin HH Plasma-surface kinetics and simulation of feature profile evolution in Cl2+HBr etching of polysilicon. J. Vac. Sci. Technol. A. 2002. V. 20. P. 2106-2114. DOI: 10.1116/1.1517993.

30. Efremov A. M., Kim D. P., Kim C. I. Simple model for ion-assisted etching using Cl2/Ar inductively coupled plasma. IEEE Trans. Plasma Sci. 2004. V. 32. P. 1344-1351. DOI: 10.1109/TPS.2004.828413.

Поступила в редакцию 10.02.2021 Принята к опубликованию 01.04.2021

Received 10.02.2021 Accepted 01.04.2021

i Надоели баннеры? Вы всегда можете отключить рекламу.