Научная статья на тему 'Дедуктивно-параллельный метод моделирования неисправностей на реконфигурируемых моделях цифровых систем'

Дедуктивно-параллельный метод моделирования неисправностей на реконфигурируемых моделях цифровых систем Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
368
38
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Хаханов Владимир Иванович, Колесников Константин Васильевич, Сысенко Ирина Юрьевна

Предлагается быстродействующий метод моделирования неисправностей, представляющий сочетание достоинств дедуктивного и параллельного алгоритмов, ориентированный на обработку цифровых устройств вентильного и регистрового уровней описания.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Хаханов Владимир Иванович, Колесников Константин Васильевич, Сысенко Ирина Юрьевна

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Deductive-parallel fault simulation method based on reconfigurable digital system models

Fast fault simulation method integrated the advantages of deductive and concurent fault simulation algorithms and oriented on evaluation of digital circuit represented on gate or RTL description level is offered.

Текст научной работы на тему «Дедуктивно-параллельный метод моделирования неисправностей на реконфигурируемых моделях цифровых систем»

УДК 519.713:681.326

ДЕДУКТИВНО-ПАРАЛЛЕЛЬНЫЙ МЕТОД МОДЕЛИРОВАНИЯ НЕИСПРАВНОСТЕЙ НА РЕКОНФИГУРИРУЕМЫХ МОДЕЛЯХ ЦИФРОВЫХ СИСТЕМ

ХАХАНОВ В.И., СЫСЕНКО И.Ю., КОЛЕСНИКОВ К.В._________________________

Предлагается быстродействующий метод моделирования неисправностей, представляющий сочетание достоинств дедуктивного и параллельного алгоритмов, ориентированный на обработку цифровых устройств вентильного и регистрового уровней описания.

1. Введение

Достижения микроэлектроники позволяют создавать интегральные микросхемы, содержащие миллионы эквивалентных вентилей на кристалле. Это дает возможность проектировать сложные специализированные вычислительные устройства с помощью средств автоматизированного проектирования известных фирм ( Aldec, Cadence, Altera, Xilinx, Synopsys). Однако автоматизация процесса верификации таких структурно- и функциональносложных цифровых систем требует создания новых методов и средств синтеза тестов и моделирования неисправностей, способных за приемлемое время построить входные последовательности проверки дефектов необходимой полноты. Быстродействие реализации особенно псевдослучайных алгоритмов генерации тестов на 80-90% зависит от времени анализа неисправностей. Поэтому разработка нового быстродействующего метода моделирования одиночных константных дефектов для синтеза тестов верификации проектируемых цифровых систем на основе программируемой логики является актуальной проблемой.

Объект тестирования представлен в форме булевых уравнений, записанных на языке VHDL, реализующих сложную цифровую систему, имплементируемую в кристаллы программируемой логики.

Ниже рассмотрены вопросы, связанные с теоретическим обоснованием объединения методов кубического [1-4], дедуктивного и параллельного [5-7] моделирования в целях повышения быстродействия анализа дефектов и определения качества теста. Также рассмотрены особенности и достоинства алгоритмической и аппаратурной реализации предлагаемого метода, его вычислительная сложность и примеры моделирования тестовых схем.

2. Математическая модель анализа неисправностей

Основное уравнение тестирования цифровой системы F = (Fj,F2,...,Fi,...Fn), включающей n линий и/ или функциональных элементов, представлено в виде [2-4]:

L © F = T , (1)

где тест T = (T, T2TtTk) для реконфигурируемых на нем моделей L = (Lj,L2,...,Lt,...,Lk) анализа неисправностей определяется линейным взаимодействием упомянутых компонентов по правилу (1). Данное уравнение может быть трансформировано к виду

L © Т = F . (2)

В этом случае формализуется процесс идентификации функции при решении задач диагностирования.

Последний вариант линейной перестановки, задаваемый уравнением

T © F = L , (3)

определяет правила формирования множества де -дуктивных функций параллельного моделирования неисправностей (ДФПМН или ДФ) на тесте Т для модели исправного поведения F. Естественно, что каждой ДФПМН можно поставить в соответствие схему, тогда аббревиатура будет иметь вид ДСПМН или ДС.

Компонент функционального описания цифровой системы Fi є F представляет собой булеву функцию для вычисления состояния i-й линии:

Fi = fi(Xi1,Xi2,...,XiJ,...Xini) . (4)

В качестве результата исправного моделирования функции fi выступает значение координаты Tti є Tt,

причем Tti = Fi на тесте t. При этом имеется в виду, что тест есть матрица исправного поведения цифровой системы

T = [Tti] = (Tt1 ’ Tt2,-,Tti,---,Ttn)- (5)

С учетом разбиения теста на составляющие векторы уравнение (3) получения ДФПМН для Tt є T принимает следующий вид:

Lt = Tt © F.

При условии, что функциональное описание цифровой системы представлено компонентами, формирующими состояния всех линий схемы, в качестве формулы преобразования исправной модели в дедуктивную функцию выступает выражение

Lti = fi[(Xii © Tti),(Xi2 © T|2),...,

(Xij ©Ttj),..., (Xinj ©Ttai)]© Tti, (6)

которое по существу аналогично формуле дедуктивного анализа цифровых схем, представленной в [6,8].

Пример 1. Для логического элемента 2И определить ДФПМН на тест-векторе Т = (111).

98

РИ, 2002, № 1

Решение. В соответствии с (6) выполняются эквивалентные преобразования с использованием тождеств алгебры логики (^ — знак инверсии):

L(T = 11, Y = 1, X1 л X2] = [(X! ® Tj) л (X2 © T2)] © T3 =

= [(X1 ©1) л (X2 ©1)] ©1 = (X1 л X2) ©1 =

= -.(Xi ЛX2) = (X1 vX2).

Аналогично получается ДФПМН для функции 2ИЛИ и тест-вектора Т = (111):

L(T = 11, Y = 1, X1 v X2) = [(X! © Ti) v (X2 © T2)] © T3 =

= [(Xj © 1) v (X2 © 1)] © 1 = (Xi v X2) © 1 =

= ^(X1 v X2) = (X1 л X2).

Путем построения ДФПМН на всех возможных входных наборах для двухвходового элемента И можно получить универсальную дедуктивную функцию, инвариантную инверсии:

L[T = (00,0110,11),(X1 л X2)] =

= L{(X1X2 V X1X2 V X1X2 V X1X2)[(X1 © T1 a X2 ©T2) © T3)]}

= (X1X2)[(X1 ©0) A(X2 ©0)]©0 V v (X1X2)[(X1 © 0) a (X2 ©1)] © 0 v

V (X1 X2)[(X1 ®1) л (X2 ®0)] © 0 V v (x1x2)[(X1 ©1) л (X2 ©1)]©1 =

= (X1X2)(X1 л X2) V (X1X2)(X1 A X2) V v (X1X2)(X1 A X2) V (X1X2)(X1 V X2).

Здесь строчными буквами представлены термы, обозначающие входные тест-наборы, прописными — регистровые переменные, кодирующие векторы проверяемых неисправностей каждой существенной переменной или линии цифрового устройства.

Аналогичное преобразование для функции ИЛИ дает следующую ДФПМН:

L[T = (00,01,10,11),(X1 v X2)] =

= L{(x1x2 vX1X2 vX1X2 vX1X2)[(X1 ©T[ vX2 ©T2)©T3)]}=

= (X1X2)[(X1 ©0) v (X2 © 0)]© 0 v v (x1x2)[(X1 © 0) v (X2 ©1)]©1v v (x1x2)[(X1 ©1) v (X2 © 0)]©1v v(X1X2)[(X1 ©1) v(X2 ®1)]®1 =

= (X1X2 )(X1 v X2) v (X1X2 )(X1 a X2) v

v (X1X2)(X1 a X2) v (X1X2)(X1 a X2).

Как следствие объединения полученных ДФПМН логических элементов И, ИЛИ дедуктивная схема их параллельного моделирования представлена на рис.1.

Рис. 1. Схема параллельного моделирования неисправностей для логических элементов AND, OR

В схеме имеют место регистровые переменные Х1 и Х2, которые представляют собой векторы проверяемых дефектов для каждой линии цифрового

устройства, объединенные в матрицу M = [My]

размерностью n2. Предварительно данная матрица инициализируется нулями с единичными диагональными элементами, иначе является единичной матрицей:

[м ] __ _/0 ^ (і Ф j);

[Mij]|(i,j=1,n) -]i ^ (i = j). (7)

Затем ее строки обрабатываются с помощью векторных операций AND,OR,NOT, в соответствии с моделью анализа неисправностей, представленной в виде ДСПМН.

Пример 2. Дана вентильная структура цифрового устройства, изображенная на рис .2.

Матрица M = [My], соответствующая приведенной выше схеме, имеет вид

M0 1 2 3 6 7 10 11 16 19 22 23

1 1 0 0 0 0 0 0 0 0 0 0

2 0 1 0 0 0 0 0 0 0 0 0

3 0 0 1 0 0 0 0 0 0 0 0

6 0 0 0 1 0 0 0 0 0 0 0

7 0 0 0 0 1 0 0 0 0 0 0

10 0 0 0 0 0 1 0 0 0 0 0

11 0 0 0 0 0 0 1 0 0 0 0

16 0 0 0 0 0 0 0 1 0 0 0

19 0 0 0 0 0 0 0 0 1 0 0

22 0 0 0 0 0 0 0 0 0 1 0

23 0 0 0 0 0 0 0 0 0 0 1

РИ, 2002, № 1

99

На входном наборе Т= 11111110001 схема исправного поведения трансформируется в ДФПМН, изображенную на рис.3.

Результаты последовательной обработки логических элементов (см. рис.3) модифицируют исходную матрицу М0 с помощью формулы

Mi = Mi vLti,

где Lti — дедуктивный элемент, формирующий состояние строки Mi на тесте Tt, приводя ее к следующему виду:

M1 1 2 3 6 7 10 11 16 19 22 23

1 1 0 0 0 0 0 0 0 0 0 0

2 0 1 0 0 0 0 0 0 0 0 0

3 0 0 1 0 0 0 0 0 0 0 0

6 0 0 0 1 0 0 0 0 0 0 0

7 0 0 0 0 1 0 0 0 0 0 0

10 1 0 1 0 0 1 0 0 0 0 0

11 0 0 1 1 0 0 1 0 0 0 0

16 0 0 1 1 0 0 1 1 0 0 0

19 0 0 1 1 0 0 1 0 1 0 0

22 1 0 0 0 0 1 0 0 0 1 0

23 0 0 1 1 0 0 1 1 1 0 1

Упорядоченное множество строк матрицы делится на три подмножества (X,Z,Y) — входных, внутренних и выходных линий цифровой системы.

Строки, относящиеся к наблюдаемым выходам, формируют совместно с вектором исправного поведения Т= 11111110001 два вектора проверяемых дефектов (S^S1), первый из которых идентифицирует единицами проверяемые константы нуля, второй — единицы:

N 1 2 3 6 7 10 11 16 19 22 23

22 1 0 0 0 0 1 0 0 0 1 0

23 0 0 1 1 0 0 1 1 1 0 1

S 1 0 1 1 0 1 1 1 1 1 1

Т 1 1 1 1 1 0 0 1 1 1 0

S0 1 0 1 1 0 0 0 1 1 1 0

S1 0 0 0 0 0 1 1 0 0 0 1

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

D0 1 0 1 1 0 0 0 1 1 1 0

D1 0 0 0 0 0 1 1 0 0 0 1

Строка S определяется дизъюнкцией всех Mr (і є Y),

относящихся к наблюдаемым выходным линиям схемы:

S = v Mf. (8)

VieY i

В данном случае S = M^3 v M^4. Функции для

определения строк S0, S1 имеют следующий вид:

50 = SЛT,(s0 = Sj ЛTj);

51 = S л T,(S1 = Sj л Tj). (9)

Вектор-строки D0 и D1 представляют проверенные на всех тестовых наборах неисправности, которые заполняются по мере обработки входных последовательностей, используя выражения

D0 = D0 v S0, D1 = D1 v S1. (10)

Естественно, что на первом тест-векторе наблюдается эквивалентность D0 = S0, D1 = S1. Тест Т проверяет 100% всех одиночных константных неисправностей, если все координаты векторов D0 и D1 равны 1. В общем случае качество теста определяется выражением

Q(T) = ^[Z (d0 + d1)]. 2n j_1 j j

(11)

Аналогично вычисляется процент покрытия неисправностей тест-вектором

Q(Tt) = ^[ Z (S0 + s1)].

2n j=1 J J

(12)

Для повышения быстродействия метода моделирования цифровая система представляется двумя

моделями W = {F, L0 } [9]. Первая является компилятивной, включающей реализацию булевых уравнений в коде языка C++ и предназначена для исправного моделирования . Вторая — модифицированная интерпретация первой в табличном исполнении, что необходимо для быстрой модификации L0 ^ L1 ^... ^ Lt ^... ^ Lk в целях выполнения дедуктивно-параллельного алгоритма моделирования неисправностей цифровой системы.

При этом интерпретативная модель получается из компилятивной путем устранения всех инверсий из

термов функционального описания F: L0 = {F \ -}. Такая модификация осуществляется на основании следующего правила: все знаки инверсии над термами должны быть удалены. Обоснование данной модификации представлено в следующем утверждении.

Утверждение 1. Если цифровая система представлена в базисе элементарных функций И, ИЛИ, НЕ, то для любого двоичного тест-вектора исходная ДФПМН L0 є W не имеет инверсий на выходах логических элементов.

100

РИ, 2002, № 1

Доказательство. Описание цифровой системы, включающее операции инверсии, может быть упрощено на основании следующих тождеств:

(а ® 0) © 1 = а;

(а © 1) © 0 = а.

С другой стороны, всякая инверсия на выходе функционального элемента может рассматриваться как отдельный инвертор. При этом применение выражения (6) к данной функции от одной переменной доказывает несущественность операции инверсии при построении модифицированной интерпретативной модели цифровой системы, в которой исключены все инверсии из термов.

Утверждение 2. Тестовая модификация интерпретативной модели цифровой системы может иметь инверсии только на входных переменных элементарных функций.

Доказательство. Основано на рассмотрении всех возможных вариантов двоичных условий на входах логических элементов И, ИЛИ:

а лb = [(а © 0) л (b © 0)] © 0 = а лЬ; а лb = [(а © 0) л (b © 1)] © 0 = а лb; а лb = [(а © 1) л (b © 0)] © 0 = а лb; а л b = [(а © 1) л (b © 1)] ©1 = а v b;

а v b = [(а © 0) v (b © 0)] © 0 =_а v b; а vb = [(а © 0) v (b © 1)] © 1 = а л b; а vb = [(а © 1) v (b © 0)] © 1 = а л b; а v b = [(а © 1) v (b © 1)] ©1 = а л b.

Другие элементы, имеющие инверсии, приводятся к упомянутым выше в соответствии с утверждением 1.

Следствия: 1. Инвертор в исправной схеме не влияет на транспортирование дефектов. 2. Дедуктивный терм не может быть составлен только из инверсных переменных. 3. Дизъюнктивный дедуктивный терм не имеет инверсных переменных.

3. Дедуктивно-параллельный алгоритм моделирования неисправностей

1. Формирование компилятивной и исходной интерпретативной моделей цифровой системы

W = (F,L0> . Определение начального значения тествектора t=0.

Инициализация векторов проверенных на тесте дефектов

n

V (D0 = 0; D1 = 0). j=1 J J

2. Определение номера очередного входного набора t=t+1 для Tt є T. Если входных наборов нет (t > k) — конец моделирования.

3. Исправное моделирование [9, 10] всех примитивов (невходных линий) Fi (i = й) цифровой схемы на входном наборе TtX є Tt с использованием компиля-

тивной модели F є W в целях доопределения невходных координат вектора TX є Tt:

TtX = f (TtX ,F). (13)

Идентичность векторов исправных состояний линий в двух соседних итерациях Tt = Tt является условием перехода к следующему пункту.

Для моделирования последовательностных схем и организации событийности [8] используется анализ пары соседних векторов (Tt—1, Tt).

4. Инициализация матрицы проверяемых на тествекторе дефектов M = [Mij] в соответствии с выражением (7).

Инициализация векторов проверяемых на тест-n

векторе дефектов V (S0 = 0' S1 = 0) j=1 j j

Реконфигурация примитивов Li (i = Гл) интерпретативной модели Li є W на основе применения формулы (6) для текущего вектора исправного состояния

Tt = (Tt1, Tt2,..., Ttj,..., Ttn)

в целях получения модификации Lti = Tt © Fj.

5. Формирование невходных строк матрицы проверяемых неисправностей путем их параллельного

моделирования [7] с помощью примитивов Lti єЦ-.

6. Формирование объединенного вектора проверяемых неисправностей S путем применения форму-

n

лы (8). При выполнении условия V (Sj = S0 v S1)

j=1 J j j

осуществляется вычисление качества тестового набора по (12) и переход к следующему пункту, иначе — формирование пары {S0,S1} по (9) или, если наблюдается исчезновение проверяемых неисправностей в векторе S по отношению к паре {S°,SX}:

n

3 [(Sj = 0)&(S 0 v S1 = 1)], выполняется исключе-

j=1 J j j

ние таких дефектов из процесса моделирования по правилу

n

(sJJ = s1 = 0) ^ j'©1[(Sj = 0) & (sJJ v s1 = 1)].

Переход к п. 5.

7. Формирование векторов проверенных неисправностей в соответствии с выражением (10) и вычисление качества теста по формуле (11). Переход к п. 2.

РИ, 2002, № 1

101

Предложенный алгоритм ориентирован как на табличное описание примитивов произвольной сложности RTL уровня, так и на вентильное представление цифровых систем. Быстродействие алгоритма зависит от представления моделей цифровых устройств, которые могут быть реализованы в компилятивном и интерпретативном исполнении [8].

Преимущества дедуктивно-параллельного метода моделирования неисправностей:

1. Исключение операций над списками неисправностей, характерных для дедуктивного метода, имеющих вычислительную сложность n2. Переход к регистровым параллельным логическим операциям (по 64 или 128 битов) над строками матрицы (таблицы) проверяемых дефектов.

2. Уменьшение времени обработки упомянутой выше таблицы путем использования матрицы достижимостей, позволяющей не обрабатывать координаты, функционально не связанные с моделируемой линией.

3. Возможность реализации параллельных операций на процессоре PRUS, акселераторе HEFS, использование компилятора Active-HDL [11] (рис. 4) для получения тест-векторов исправного поведения, доопределенных по невходным координатам.

Рис. 4. Использование Active-HDL для моделирования тест-векторов

4. Событийность обработки матрицы проверяемых дефектов по принципу изменения хотя бы в одном разряде модельного регистра.

5. Реализация событийного моделирования исправного поведения на входном наборе при использовании компилятивной модели цифровой системы.

6. Интерактивная событийная модификация дедуктивной интерпретативной модели параллельного моделирования неисправностей на каждом входном наборе без инверторов на выходах логических элементов.

7. Возможность использования универсального элемента моделирования неисправностей для син-

теза схемы анализа дефектов цифровой системы или для построения компилятивной модели параллельно-дедуктивного моделирования неисправностей.

8. Возможность уменьшения размерности матрицы проверяемых дефектов путем сокращения множества неисправностей на основе их эквивалентиро-вания [8] — неразличимости относительно наблюдаемых выходов.

4. Вычислительная сложность и сравнительный анализ с дедуктивным и параллельным методами

Учитывая, что разработанный метод моделирования неисправностей основывается на использовании преимуществ дедуктивного и параллельного алгоритмов [5-8], то естественным представляется выполнить сравнительный анализ всех трех реализаций.

Параллельный алгоритм имеет вычислительную сложность Cp, определяемую функциональной зависимостью от числа неэквивалентных неисправностей (b), длины компьютерного слова (W), количества эквивалентных вентилей (G):

Cp = (b2/W)х G3 .

Дедуктивный алгоритм имеет некоторые отличия в формуле оценки быстродействия (система CHIEFS):

Cd = b2 хQx G2|q=G = b2G3,

где Q — среднее число активизированных неисправностями вентилей.

Предлагаемый дедуктивно-параллельный метод имеет быстродействие, определяемое выражением

Cdp = G2 + (b2/W) х G2.

Первое слагаемое задает время исправного моделирования, второе — анализа неисправностей цифрового устройства, линии которого не ранжированы. Для комбинационной схемы с ранжированными линиями и элементами быстродействие метода будет иметь оценку

Cdp = G + (b2/W) X G.

Быстродействие параллельно-дедуктивного метода выше параллельного и дедуктивного

(Cdp «{Cp,Cd}), благодаря разделению фаз исправного и неисправного моделирований.

5. Особенности моделирования неисправностей в последовательностных схемах

Особенности анализа последовательностных схем связаны с возникновением генераторного режима — отсутствие условий проверки отдельных неисправностей на функциональных входных наборах.

Пример 3. Выполнить моделирование дефектов для триггерной схемы (рис. 5) на входном наборе 01.

102

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

РИ, 2002, № 1

Результаты исправного моделирования схемы определяются вектором 0111. Дедуктивно-параллельная схема для данного набора имеет вид, представленный на рис. 6.

Рис. 6. Дедуктивная схема моделирования

Матрицы проверяемых неисправностей на трех итерациях иллюстрируют генераторный режим — появление и исчезновение дефекта 11 среди множества проверяемых:

M1 1 2 3 4

1 1 0 0 0

2 0 1 0 0

3 1 0 1 0

4 1 1 1 1

M2 1 2 3 4

1 1 0 0 0

2 0 1 0 0

3 0 0 1 0

4 0 1 1 1

M3 1 2 3 4

1 1 0 0 0

2 0 1 0 0

3 1 0 1 0

4 1 1 1 1

заключается в разделении устройства моделирования (рис. 7) на следующие компоненты:

1. Блок памяти для хранения матрицы M = [M^] проверяемых дефектов, размерностью n2.

2. Регистр S[1...n] для хранения признаков проверки (1), непроверки (0) неисправностей линий цифрового устройства, инверсных по отношению к состояниям линий Tti тест-вектора Tt, формируемый по правилу, определенному в (8).

3. Регистровая пара (S0[1...n], Sx[1...n]) для хранения признаков проверки (1), непроверки (0) одиночных константных неисправностей (= 0, = 1) соответственно на тест-векторе Tt.

4. Регистровая пара (D0[1...n], Dx[1...n]) для хранения признаков проверки (1), непроверки (0) одиночных константных неисправностей (= 0, = 1) соответственно на тесте T.

5. Регистровая пара (Tt-i[1...n], Tt[1...n]) для хранения двоичных состояний линий цифрового устройства при подаче на него двух соседних тествекторов (Tt-1Tt).

6. Блок памяти для хранения схемного описания (BNF description), включающего: номер и тип элемента, число входов, номера (идентификаторы) входных переменных и выхода.

В этом случае необходимо корректировать списки проверяемых дефектов. В данном примере уже на второй итерации (матрица М2) следует исключить из рассмотрения неисправность 11. Тогда выполнение итерации I3, представленное матрицей

M3 1 2 3 4

1 0 0 0 0

2 0 1 0 0

3 0 0 1 0

4 0 1 1 1

M=[Mij]

(nxn)

lt-1

дает окончательный список проверяемых неисправностей на тест-векторе 0111, равный L4 = {20,30,40} .

Здесь физическая причина исключения дефекта 11 заключается в инициировании им режима генерации изменяющихся сигналов при моделировании линий 3 и 4: 11-00-11-...00. В этом случае состояния невходных линий принудительно определяются символами неопределенности X, что является условием отсутствия проверки дефекта 11.

6. Аппаратурная реализация дедуктивнопараллельного метода моделирования неисправностей

Учитывая, что параллельные процессы являются доминирующими и наиболее времяем-кими в предлагаемом методе моделирования, представляется целесообразной его аппаратурная реализация. Основная идея при этом

AND(0)

OR(l)

z

д

,~^в"

пь

00

DC

01

10

11

Mi

NOT(Q)

Рис. 7. Схема дедуктивно-параллельного моделирования неисправностей

РИ, 2002, № 1

103

7. Буферные регистры (A, B) для хранения операндов перед выполнением регистровых операций AND, OR, NOT над строками матрицы проверяемых дефектов M = [Му ].

8. Модуль вычисления исправных состояний невходных переменных xti (i = ЇЇЇ) цифрового устройства на тест-векторе Tt по значениям булевых переменных (a,b) бинарных логических операций.

9. Модуль векторных логических операций над регистровыми переменными (А, В), формирующий матрицу М = [Mjj ] на тест-векторе Tt.

Алгоритм работы устройства моделирования состоит из реализации процедур исправного моделирования и анализа дефектов [12]. Первоначально заносится информация — схемное описание в блок

6, формируется единичная матрица в блоке 1, обнуляются регистры блоков 2-5. Информация с блока 6 — тип элемента (И, ИЛИ) поступает на блок 9 в целях выбора операций для формирования векторов проверяемых дефектов совместно с тестовыми сигналами, поступающими на входы a,b блоков 8, 9 с блока 5, которые формируют сигналы на выходе блока 8, поступающие далее в блок 5, доопределяя невходные координаты. После выбранного дешифратором одного из четырех элементов на его входы подается содержимое регистровых переменных А и В, поступающее за два временных такта в блок 7. Результат векторной логической операции с выхода Mj в третьем такте поступает в блок памяти 1, где формируются векторы проверяемых дефектов для всех линий схемы. После обработки всех элементов, находящихся в блоке 6, выполняется формирование ячеек блока 2 и повторение процедуры в целях установления факта сходимости моделирования исправного поведения и неисправностей. После этого формируется содержимое ячеек блока 3 и 4. По окончании моделирования всех наборов теста в блоке 4 формируется вектор проверенных дефектов, на основании анализа которого определяется качество теста в процентах.

7. Заключение

Предложен быстродействующий метод дедуктивно-параллельного моделирования неисправностей на реконфигурируемых моделях цифровых устройств, представляющий сочетание достоинств дедуктивного и параллельного алгоритмов. Метод ориентирован на обработку цифровых устройств вентильного и регистрового уровней описания. Рассмотрены вопросы, связанные с теоретическим обоснованием объединения методов дедуктивного и параллельного моделирования в целях повышения быстродействия анализа дефектов и определения качества тестов. Также рассмотрены особенности и достоинства алгоритмической и аппаратурной, компилятивной и интерпретативной реализации предлагаемого метода, его вычислительная сложность и примеры моделирования тестовых схем. Технические характеристики программы мо-

делирования неисправностей, реализованной на языке Visual C++для IBM PC (Pentium II, 500Мгц): среднее быстродействие — 1000 векторов в секунду (в/с) для схем, содержащих 1 000 линий (2 000 вентилей); 100 в/с при 3 000 линий (6 000 вентилей); 30 в/с при 5 000 линий (10 000 вентилей). Статистика обработки тест-примеров цифровых схем дедуктивным и дедуктивно-параллельным методами при моделировании 1000 входных последовательностей представлена в таблице. Графики сравнительного анализа реализации двух методов моделирования неисправностей цифровых устройств изображены на рис. 8, 9, 10.

Схема n Время ,с dt Nt

ДМ ДИМ

c17 17 1,372 0,010 1,362 137,200

c432 432 14,030 0,160 13,870 87,688

c499 499 18,206 0,240 17,966 75,858

c880 880 32,056 0,450 31,606 71,236

с1355 1355 46,037 0,751 45,286 61,301

c1908 1908 82,139 1,842 80,297 44,592

c2670 2670 134,566 6,409 128,157 20,996

c3540 3540 176,367 8,592 167,775 20,527

c5315 5315 285,356 18,787 266,569 15,189

c6288 6288 319,456 17,185 302,271 18,589

c7552 7552 502,082 44,004 458,078 11,410

Обозначения (таблица): n - количество вентилей; ДМ -дедуктивный метод; ДИМ - дедуктивно-параллельный метод; dt = tдм -1дпм - разность времен обработки двумя методами; Nt = t дм/t дпм - отношение времен обработки двумя методами

Количество вентилей в схеме

ф Дедуктивный метод —и— Дедуктивно-параллельный метод

Рис.8. Сравнительный анализ времени моделирования двух методов

Абсолютный выигрыш Относительный выигрыш

Рис.9. Абсолютный и относительный Nt = t дм/t дпм временной выигрыш дедуктивно-параллельного метода

104

РИ, 2002, № 1

[—♦—Дедуктивный метод —■—Дедуктивно-параллельный метод |

Рис. 10. Время обработки одного вентиля

(tдм дпм /n)

Литература: 1. Hahanov V.I., Babich A.V., Hyduke S.M. Test Generation and Fault Simulation Methods on the Basis of Cubic Algebra for Digital Devices. Proceedings of the Euromicro Symposium on Digital Systems Design DSD2001. Warsaw, Poland. September, 4-6, 2001. P. 228235. 2. Хаханов В.И, Хак Х.М. Джахирул, Масуд М.Д. Мехеди. Модели анализа неисправностей цифровых систем на основе FPGA, CPLD // Технология и конструирование в электронной аппаратуре.2001.№ 2. С. 3-11. 3. Хаханов В.И. Кубическое моделирование неисправностей и генерация тестов для цифровых систем. В кн.: Ежегодный отчет ХТУРЭ. 1999-2000. C.139-146. 4. Хаханов В. И., Сысенко И. Ю., Хак Х. М. Джахирул, Масуд М.Д. Мехеди. Кубическое моделирование неисправностей цифровых проектов на основе FPGA, CPLD // Радиоэлектроника, информатика, управление.2001. № 1. С. 123-129. 5. Menon P.R., Chappel S.G. Deductive failt simulation with functional blocks // IEEE Trans. on Computers. Vol. C.27. No 8. 1978. P. 689-695. 6. Levendel Y.H., Menon P.R Comparison of fault simulation methods — Treatment of unknown signal values // Journal of digital

systems. Vol. 4. 1980. P. 443-459. 7. ChangH.Y., Chappel

S.G., Elmendorf C.H., Smidt L.D. Comparison of parallel and deductive fault simulation Methods // IEEE Trans. on Computers. Vol. C23, No 11. 1974. P. 1132-1138. 8. Abramovici M, Breuer M.A. and Friedman A.D., Digital System Testing and Testable Design, Computer Science Press, 1998. 652 p.

9. Хаханов В.И. Техническая диагностика элементов и узлов персональных компьютеров.К.: I3MH. 1997.308с.

10. Бондаренко М. Ф, Кривуля Г. Ф, Рябцев В.Г., Фрадков С.А., Хаханов В.И. Проектирование и диагностика компьютерных систем и сетей. К.: НМЦ Во. 2000. 306 с. 11. Active-VHDL Series. Book #1 - #4. Reference Guide. ALDEC Inc. 1998. 206 р. 12. Кизуб В.А., Кривуля Г.Ф, Хаханов В.И, Тыдыков В.И. Устройство для моделирования конечных автоматов/ А.с.№1520534 от 07.11.89. Бюллетень № 41. 14с.

Поступила в редколлегию 02.02.2002

Рецензент: д-р техн. наук, проф. Кривуля Е.Ф.

Хаханов Владимир Иванович, д-р техн. наук, профессор кафедры АПВТ ХНУРЭ. Научные интересы: техническая диагностика вычислительных устройств, систем, сетей и программных продуктов. Увлечения: баскетбол, футбол, горные лыжи. Адрес: Украина, 61166, Харьков, пр. Ленина, 14, тел. 40-93-26.

E-mail: hahanov@kture.kharkov.ua

Сысенко Ирина Юрьевна, аспирантка кафедры АПВТ ХНУРЭ. Научные интересы: моделирование цифровых систем. Увлечения: аэробика, музыка, иностранные языки. Адрес: Украина, 61166, Харьков, пр. Ленина, 14,тел. 40-93-26.

Колесников Константин Васильевич, преподаватель кафедры КС Черкасского государственного технологического университета. Научные интересы: тестирование цифровых систем и сетей. Увлечения: живопись, поэзия. Адрес: Украина, 18006, Черкассы, бул. Шевченко, 460, тел. 43-74-28, e-mail: kvvk601@mail.ru

УДК 519.711

МАТЕМАТИЧЕСКОЕ ОПИСАНИЕ СЛОЖНЫХ СЕТЕВЫХ СТРУКТУР

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

ШАПОВАЛИ.Н, ХАЖМУРАДОВ М.А.__________

Приводится общее математическое описание сложных сетевых структур, не обладающих свойством декомпозиции к набору одноузловых систем очередей. Описание фактически реализует включение рассматриваемых сетей в класс нелинейных объектов с широко развиваемым в настоящее время инструментарием анализа и богатым множеством полученных нетривиальных свойств.

Аналитическое исследование модели сетевых структур (СС) обслуживания сопряжено со значительными трудностями [ 1 ]. Основной причиной является наличие более одного обслуживающего узла

(q > 1) общего вида. Известный тезис Клейнрока [1] о независимости работы отдельных узлов, составляющих большую систему, позволяет редуцировать систему со сложной многоузловой структурой обслуживания к ряду независимых систем с одним узлом обслуживания. Однако этот тезис не является оправданным, когда требуется постоянство общего числа запросов, маршруты которых включают более одного обслуживающего узла.

Рассмотрим иной подход, приводящий к системе нелинейных интегральных уравнений. На основе

РИ, 2002, № 1

этого результата многоузловая открытая система массового обслуживания (СМО) приводится к эффективно-разрешимой аналитической модели. Получаемые таким путем характеристики отличаются от результатов, базированных на тезисе Клейнрока, учетом интегральных свойств сети узлов.

Рассмотрим модель СС, включающую всю структуру обслуживания, как открытую СМО, но придадим ей более общий вид (рисунок).

105

i Надоели баннеры? Вы всегда можете отключить рекламу.