Научная статья на тему 'Регулировка фазы при цифровом синтезе частот'

Регулировка фазы при цифровом синтезе частот Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
104
12
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ПРЯМОЙ ЦИФРОВОЙ СИНТЕЗ / DIRECT DIGITAL SYNTHESIS / СПЕКТР СИНТЕЗИРОВАННОГО СИГНАЛА / SYNTHESIZING SIGNAL SPECTRUM / РЕГУЛИРОВКА ФАЗЫ / PHASE ADJUSTMENT / "ФАЗОВОЕ" ЧИСЛО / "PHASE" NUMBER

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Червинский Е.Н.

Анализируется работа цифрового синтезатора сетки частот, содержащего узлы регулировки фазы выходного сигнала. Получено аналитическое выражение для спектра дискретизированного сигнала. Определены зависимости спектральных характеристик от опорной частоты и от параметров синтезатора. Предложена методика расчета "фазовых" чисел для управления фазой синтезируемого колебания.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

The phase adjustment during digital synthesis of frequencies

The working of a digital synthesizer including adjustment devices to change the output of the signal phase is analyzed. The analytical expression for the spectrum of discrete signal is obtained. The spectrum characteristic dependencies from the reference frequency and synthesizers parameters are determined. The method of "phase" numbers calculation for phase adjustment of synthesizing oscillation is offered.

Текст научной работы на тему «Регулировка фазы при цифровом синтезе частот»

2. Бондаренко В. Н. Синхронизация корреляционного приемника шумоподобного сигнала с минимальной частотной манипуляцией // 3-й Сиб. междунар. авиац.-косм. салон, Красноярск, 3-5 дек. 2004 г. Сб. докл. Красноярск: Сиб. ГАУ, 2004. С. 123-126.

V. N. Bondarenko

Krasnoyarsk state technical university

Locking of noise-like frequency shift signal at remaining time

Optimal algorithm of time discriminating for locking system of noise-like signal by delay time with minimal frequency shift offered, analyze of noise stability of offered algorithm carried out.

Discriminator, pseudo noise signal, minimum frequency manipulation, noise stability Статья поступила в редакцию 4 мая 2006 г.

УДК 621.317.766

Е. Н. Червинский

ЗАО "СИМЕТА"

Регулировка фазы при цифровом синтезе частот

Анализируется работа цифрового синтезатора сетки частот, содержащего узлы регулировки фазы выходного сигнала. Получено аналитическое выражение для спектра дискретизированного сигнала. Определены зависимости спектральных характеристик от опорной частоты и от параметров синтезатора. Предложена методика расчета "фазовых" чисел для управления фазой синтезируемого колебания.

Прямой цифровой синтез, спектр синтезированного сигнала, регулировка фазы, "фазовое" число

Для повышения точности стабилизации параметра в цепях фазовой автоподстройки, для снижения погрешности ввода поправки при синхронизации шкал времени, а также для решения ряда аналогичных задач, необходимо повышение точности работы исполнительного элемента - устройства регулировки фазы. При современном уровне развития техники прямого синтеза уменьшение дискретности подстройки фазы в сочетании с малым временем вхождения в синхронизм может быть достигнуто сравнительно простыми средствами.

Цель статьи - анализ работы прямого цифрового синтезатора сетки частот с регулировкой фазы выходного сигнала.

При прямом цифровом синтезе значения синусоидального сигнала заданной частоты в дискретные моменты времени считываются из массива данных, записанных в блоке памяти синтезатора. После цифро-аналогового преобразования синтезируемое колебание формируется из потока многоуровневых импульсов фильтрацией [1]. На рис. 1 приведена структурная схема функционально законченного прямого цифрового синтезатора сетки частот типа AD9830, разработанного фирмой "Analog Devices". Синтезатор содержит блок частотных регистров, N-разрядный накопитель фазы и постоянное запоминающее устройство (ПЗУ) отсчетов, в котором записаны 2N значений синусоидальной функции. Накопитель фазы состоит из регистра данных и бинарного сумматора. Выход бинарного сум-

30

© Червинский Е. Н., 2007

Тактовая (опорная) частота /т

Ключ

Выбор Блок Блок Выбор

частотного —► частотных фазовых М— фазового Фильтр

регистра регистров регистров регистра

т

т

т

Запись "частотных" чисел р

Запись "фазовых" чисел и

Выход

Рис. 1

матора подключен через фазовый сумматор к адресным входам ПЗУ. С приходом очередного тактового импульса содержимое бинарного сумматора переписывается в регистр данных и затем снова складывается с числом, записанным в частотном регистре. Таким образом в накопителе формируется код текущей фазы, отсчитываемой с шагом Дф.

С выхода ПЗУ информация о значении синусоиды при данной фазе сигнала считы-вается на цифро-аналоговый преобразователь (ЦАП). Синтезируемая частота выделяется фильтром, подключенным к выходу ЦАП. С помощью фазового сумматора к выходным битам накопителя фазы может быть добавлено содержимое одного из фазовых регистров и тем самым изменена фаза синтезированного сигнала.

Спектр синтезированного сигнала. Синтезируемая частота ¥ изменяется подключением соответствующего частотного регистра с записанным в нем числом р; при этом период повторения фазы на выходе накопителя становится равным р2п . Поскольку накопитель фазы позволяет найти 2^ ее текущих значений, приращение фазы на каждом такте

Дф = р2п/2Ж . (1)

С другой стороны, приращение фазы на периоде тактовой частоты есть линейная функция ¥:

Дф = 2п (¥/т ). (2)

Приравняв соотношения (1) и (2) , получим известное "уравнение настройки" синтезатора - зависимость синтезируемой частоты ¥ от емкости накопителя 2^, тактовой частоты /т и "частотного" числар [2]:

¥ = р/т12* . (3)

Пунктиром на схеме рис. 1 обозначен аналоговый ключ (коммутатор напряжения), на управляющий вход которого поступают стробирующие импульсы с частотой /т и длительностью ти. Стробирование может использоваться для увеличения амплитуды гармоники основной частоты ¥ или для подавления паразитной составляющей в спектре дис-кретизированного сигнала.

т

Запишем дискретизированную во времени функцию уд (t) = cos (2nFiTT +фн ),

Уд (t) =

i е 0, 1, ..., k (TT = 1/fT - период тактовой частоты fT ; фн = v2nFTT , ve 0, 1,

начальная фаза сигнала в промежутке [0, kTT ]):

cos v2nFTT, 0 < t <ти/2; cos(v +1)2nFTT, (TT -ти/2) < t < (TT +t J2); cos [v + (k -1)] 2nFTT, [(k -1) Тт - т J2] < t < [(k -1) Тт + ти/2]; cos (v + k) 2nFTT, (kTT -т J2) < t < kTT.

Коэффициент k определяется соотношением

k = lfj F,

где l - наименьшее кратное числа F/fT . Обозначим m = F/ fT , тогда k = l/m. Разложение функции (4) в ряд Фурье имеет вид

к -

(4)

(5)

Уд (t) = L

sin (ти/Тт ) (n - F//t ) п

cos2n[(n/T - F) t -vF/Л ] .

(6)

(n-F/fT^

Выражение для синтезируемой составляющей с частотой F найдем, положив в (6) n = 0 : у (t) = [sin (ти/Тт )тл/тл] cos(2nFt + vm2n) .

На рис. 2 приведен график частичной суммы ряда (6) при изменении n от -100 до 100.

Периодическая последовательность уд (t) представляет собой поток многоуровневых импульсов с огибающей в виде синтезируемой функции уог (t) = cos (2nFt + фн).

Пример. На рис. 3 приведена последовательность знакопеременных импульсов единичной амплитуды длительностью ти с частотой огибающей F, равной половине тактовой частоты. Начальная фаза сигнала частоты F (косинусоиды) равна нулю. Подставив в (6) F = /т/ 2, V = 0, найдем спектр импульсной последовательности:

Уд

0.55

F = 0.16 /т

Г

V = 24

ти = 0.5Тт

\ Уог \

v\

¥

/ / i

ш.

\

Л

Тт

7Тт

- 0.55

кТт

- 1.1

Рис. 2

т

0

t

/ч 4 ^ sin (2n-1) п (ти/2Тт ) , ч y *д (t) = - У--- V И/ т) cos(2n-1)2nFt.

д п 1 2n -1

n=1

На графиках рис. 2 и 3 вблизи точек разрыва синтезированной функции имеются двусторонние (положительные и отрицательные) выбросы - проявление "дефекта сходимости" ряда (6), известное как явление Гиббса [3].

Помимо основной частоты F в спектре (6) содержатся гармоники с частотами \nfT - F|, n е (-да, да), и начальными фазами

v2nF/fT или п + (v2nF/fT) в зависимости

от номера гармоники n. Положим ти = Тт и преобразуем числитель дроби в разложении (6):

sin (n - m) п = sin nn cos mn - cos nn sin mn = (- 1)n 1 sin mn .

С учетом этого равенства запишем отношение амплитуд A (щ) спектральных составляющих с частотами \щ/т - F| и |n,fT - F| :

A (n ) nj - m njfT -F

A (nj ) = щ - m nifT - F

Таким образом, при ти = Тт амплитуды гармоник обратно пропорциональны их частотам.

Из формулы (6) видно, что, выбирая длительность ти кратной полупериоду какой-либо гармоники с частотой \п/т - , т. е. при ти = ¡/ (2\п/т - ), / = 1, 3, 5, ...; 0 < ти < Тт, можно получить максимальную амплитуду этой гармоники, равную 1/1(п - Г//т) п| . И, напротив, при ти \п/т - Г = ] , ] = 1, 2, 3, ...; 0 <ти < Тт, амплитуда гармоники с частотой \п/т - Г равна нулю.

Из формулы (6) также следует, что если в качестве синтезируемой (дискретизируе-мой) частоты принять любую гармонику спектра уд (^) с частотой по/т - Г (по - произвольное положительное или отрицательное число) и единичной амплитудой, то спектр дискретизированного сигнала не изменится. Действительно, подставив в ряд (6) вместо Г частоту по/т - Г, получим:

у с)=тт, 1» ^ -и / ^ - - ») *+* ] * - г}.

При г = 0 имеем гармонику с частотой |п»/т - ; при г = п» - гармонику с частотой Г и т. д. Амплитуды и фазы составляющих с равными частотами в выражениях (6) и (7) одинаковые. Для выделения частоты |п»/т - необходимо использовать полосовой фильтр.

Уд

0.55

0

- 0.55 - 1.1

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

F = 0-5/т ти

к ти = 0.25Тт и

\ V = 0 \

\

\ Т /

т

I

\ Уог ^ 3Тт

■г

/ \ / \ / \

Рис. 3

t

С увеличением синтезируемой частоты увеличивается также число, записываемое в частотный регистр. Из формулы (3) р = |и0/т -/ 2^//т . При увеличении р сверх предельно допустимого значения, определяемого емкостью накопителя фазы, прекращается устойчивая работа синтезатора. С учетом этого обстоятельства в качестве "частотозадаю-щего" следует выбирать наименьшее значение / из синтезируемого спектра.

В соответствии с (3) для получения целочисленной сетки частот (в единицах частоты /'|пт = Д/) необходимо, чтобы тактовая частота /т, выраженная в тех же единицах, равнялась степени двух: /т = А/ • 22 (г - целое число, меньшее N).

Разложение (6) функции (4) получено при условии, что в процессе дискретизации мгновенные значения функции у 0) точно воспроизводятся ЦАП. На практике из-за конечной разрядности ЦАП амплитуда сигнала на выходе ключа отличается от получаемой по (4), что приводит к появлению дополнительных гармоник в спектре синтезированного сигнала. Для определения частот гармоник перепишем соотношение (5) в виде пропорции:

Р/Л = ¡/к. (8)

Поскольку I и к - целые числа, последнее равенство означает, что на одном периоде дискретизированной функции уд (^) укладывается к периодов тактовой частоты /т (или I

периодов синтезированной частоты /). Таким образом, основная частота, определяющая шаг сетки на оси частот (первая гармоника):

/ = /т/к. (9)

Частоты остальных гармоник кратны частоте /1. Паразитные гармоники, образующие шум квантования, группируются на оси частот в точках / ± п/1, п = 1, 2, 3, ... в полосе пропускания фильтра.

Регулировка фазы выходного сигнала. Из (9) следует, что с уменьшением к уменьшается число паразитных гармоник, попадающих в полосу пропускания фильтра. С другой стороны, с уменьшением к уменьшается разрешающая способность цепи фазовой автоподстройки выходного сигнала, содержащей в качестве исполнительного элемента узлы регулировки фазы синтезатора, минимальный дискрет сдвига фазы в которой равен 2л/к . Для определения числа, записываемого в фазовый регистр ("фазового" числа, см. рис. 1), найдем условие, при котором приращение фазы после двух последовательных шагов составит 2л/к радиан. В соответствии с (4) имеем

( ц -v ) 2п (//т ) - г 2п = 2л/к , (10)

где (ц - V) - изменение числа дискретов на шине адреса ПЗУ при переходе от произвольной начальной фазы фн к полной фазе (v + ц) 2л/Гт ; г - целое число фазовых циклов по 2п радиан. С учетом соотношения (5) перепишем (10) в виде

¡(ц-V)-кг = 1. (11)

Обозначим и = ц - v ; ю = -г , тогда

¡и + кю = 1, (12)

1 <и< к; -1 <ю< 0. (13)

======================================Известия вузов России. Радиоэлектроника. 2007. Вып. 2

Уравнение (12) является вторым уравнением настройки цифрового синтезатора, позволяющим определить "фазовое" число Uq в зависимости от синтезируемой и от тактовой частот и от требуемого дискрета регулировки фазы. Это уравнение в целых числах, или линейное диофантово уравнение, где неизвестными являются и и ю. Коэффициенты l и k при неизвестных - взаимно простые числа, т. е. их наибольший общий делитель d = (l, k) = 1 (см. (8)). Для решения уравнения (12) воспользуемся следующей теоремой [4]. Пусть d = (l, k), тогда существуют целые числа Uq и год такие, что lug + k wq = d. Если {ui < 0, o>i > 0} есть некоторое частное решение уравнения (12), то искомое решение, удовлетворяющее неравенствам (13), представимо в виде Uq = и + k; год = roj -1.

В качестве примера рассчитаем uq и rg при fT = 10 МГц; F = 2.768 МГц. Для определения l и k составим пропорцию: l¡k = 2768/10000 = 173/625 = 0.2768 . Соответствующее уравнение имеет вид 173и + 625ю = 1.

Для определения чисел Uq и год распишем алгоритм Евклида для нахождения наибольшего общего делителя коэффициентов 625 и 173, использующий последовательное деление этих чисел и последующих остатков и представление их через результаты деления: 625 = 3-173 +106; 173 = 1-106 + 67; 106 = 1-67 + 39; 67 = 1-39 + 28; 39 = 1-28 +11; 28 = 2-11 + 6; 11 = 1-6 + 5; 6 = 1-5 +1.

Как и следовало ожидать, последний ненулевой остаток, являющийся наибольшим общим делителем чисел 625 и 173, равен 1. Из последней цепочки равенств имеем: 1 = 6-1-5 = 6-1(11 -1-6) = 2 • 6-1-11 = 2 (28 - 2-11)-1-11 = 2 • 28 - 5-11 = 2 • 28 - 5 (39-1-28) = = 7 - 28 - 5 - 39 = 7 (67-1-39) - 5 - 39 = 7 - 67-12 - 39 = 7 - 67-12 (106-1-67) = 19 - 67-12-106 = = 19 (173-1-106)-12-106 = 19-173 - 31-106 = 19-173 - 31(625 - 3-173) = 173-112 - 625 - 31.

Итак, числа Uq = 112 и год =-31 являются решением исходного уравнения. Выполнив обратную замену: r = -ю, окончательно находим: uq = 112; rg = 31. Таким образом, при изменении числа на шине адреса на 112 единиц приращение фазы в соответствии с уравнением (10) составит (112 • 0.2768 - 31) 2п = 0.0016 • 2п = 2л/625 .

Второй пример рассмотрим для случая, когда l > k : F = 57.074 МГц ; fT = 32.768 МГц ; l/k = 57074/32768 = 28537/16384 .

Алгоритм Евклида для определения d = (28537,16384) : 28537 = 1-16384 +12153; 16384 = 1-12153 + 4231; 12153 = 2 • 4231 + 3691; 4231 = 1-3691 + 540; 3691 = 6 • 540 + 451; 540 = 1-451 + 89; 451 = 5 - 89 + 6; 89 = 14 - 6 + 5; 6 = 1-5 +1.

Отсюда имеем:

1 = 6-1- 5 = 6-1 • (89 -14 • 6) = 15 • 6 - 89 = 15 (451 - 5 • 89) - 89 = 15 • 451 - 76 • 89 = 15 • 451 --76 (540-1 451) = 91 • 451 - 76 • 540 = 91(3691 - 6 • 540) - 76 • 540 = 91 • 3691 - 622 • 540 = = 91 • 3691 - 622 (4231 -1- 3691) = 713 • 3691 - 622 • 4231 = 713 (12153 - 2 • 4231) - 622 • 4231 = = 713 • 12153 - 2048 • 4231 = 713 42153 - 2048 (16384 -1 12153) = 2761 12153 - 2048 16384 = = 2761 ( 28537 -1 16384) - 2048 16384 = 28537 • 2761 -16384 • 4809.

Следовательно, Uq = 2761; год = - 4809 .

Переходим к г0 = -Го), тогда окончательно: Uq = 2761, r0 = 4809. Приращение фазы сигнала при изменении числа на шине адреса ПЗУ на 2761 составит [2761(28537/16384)-4809]2п = 6103515625-10-14 • 2п = 2л/16384.

В последнем примере синтезируемая частота F превышает тактовую частоту fT . Покажем, что при синтезе частоты Fq = F - fT "фазовое" число Uq не изменится. Действительно, при переходе к низкой частоте соотношение (5) примет вид k = (l - k) fT / (F - fT) = = lofT/(F - fT ), где Iq = l - k. Подставив Iq в (11) и выполнив элементарное преобразование, чтобы равенство не нарушилось, получим:

l0u- k (r-и) = 1. (14)

Решением уравнения (14) является то же "фазовое" число Uq , однако при этом целое число циклов по 2п радиан уменьшается на Uq по сравнению с решением уравнения (11).

Пример. Синтез частоты F0 = 57.074 - 32.768 = 24.306 МГц . m = l0/k = 24306/32768 = 12153/16384 . Уравнение для определения u0: 12153и +16384ю = 1.

Решение уравнения: uq = 2761, wq = - (4809 - 2761) = -2048. Перейдя к ro = -Го), найдем и0 = 2761, r0 = 2048. Приращение фазы составляет [2761(12153/16384)-2048]2п = = 2л/16384.

При увеличении "фазового" числа Uq в раз во столько же раз возрастет фазовый сдвиг. Если в фазовый регистр записывается число и^, приводящее к сдвигу на (2л/k) радиан (е 0, 1, ..., k), то для сдвига на -£, (2л/k) радиан в регистр следует записать число k - и^.

Библиографический список

1. Шапиро Д. Н., Паин А. А. Основы теории синтеза частот. М: Радио и связь, 1981. 264 с.

2. Cordesses L. Direct digital synthesis: A tool for periodic wave generation // IEEE Signal processing magazine. 2004. Vol. 21. Pt. 1, № 7. P. 50-54; Pt. 2. № 9. P. 110-112, 117.

3. Фихтенгольц Г. М. Курс дифференциального и интегрального исчисления. Ч. III. М.: Физматгиз, 1963. 656 с.

4. Базылев Д. Ф. Справочное пособие к решению задач: диофантовы уравнения / НТЦ "АПИ". Минск, 1999. 160 с.

E. N. Chervinsky

Closed joint-stock company "SIMETA", Saint-Petersburg

The phase adjustment during digital synthesis of frequencies

The working of a digital synthesizer including adjustment devices to change the output of the signal phase is analyzed. The analytical expression for the spectrum of discrete signal is obtained. The spectrum characteristic dependencies from the reference frequency and synthesizers parameters are determined. The method of "phase " numbers calculation for phase adjustment of synthesizing oscillation is offered.

Direct digital synthesis, synthesizing signal spectrum, phase adjustment, "phase" number

Статья поступила в редакцию 3 мая 2006 г.

i Надоели баннеры? Вы всегда можете отключить рекламу.