Научная статья на тему 'Проведення діагностичних експериментів у керуючих автоматах з використанням синхронізуючих послідовностей'

Проведення діагностичних експериментів у керуючих автоматах з використанням синхронізуючих послідовностей Текст научной статьи по специальности «Математика»

CC BY
92
40
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по математике, автор научной работы — Шкіль Олександр Сергійович, Мірошник Марина Анатоліївна, Рожнова Тетяна Григорівна, Пахомов Юрій Васильович, Караман Дмитро Григорович

Розглядаються питання підвищення надійності функціонування систем логічного управління в системах газопостачання. Наводиться алгоритм роботи автоматичної газорозподільної станції, граф переходів керуючого автомата та його модель на мові опису апаратури. Для діагностування керуючого автомату пропонується проводити «неруйнівний» діагностичний експеримент з обходу всіх вершин (дуг) графа переходів автомата з використанням синхронізуючих послідовностей. Наводиться приклад побудови синхронізуючої послідовності та діагностичний експеримент з її використанням. Моделювання VHDL-моделі при проведенні діагностичного експерименту здійснювалося в середовищі Active-HDL.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по математике , автор научной работы — Шкіль Олександр Сергійович, Мірошник Марина Анатоліївна, Рожнова Тетяна Григорівна, Пахомов Юрій Васильович, Караман Дмитро Григорович

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Conducting diagnostic experiments in control finite state machines using synchronization sequences

The work is devoted to the issues of improving the reliability of logical control systems in gas supply systems. The algorithm of operation of the automatic gas distribution station, the state diagram of the control finite state machine and his model in the hardware description language are given. To diagnose the control finite state machine, it was proposed to conduct a “nondestructive” diagnostic experiment on traversing all vertices (arcs) of state diagram using synchronization sequences. The example of constructing a synchronizing sequence and a diagnostic experiment using it are given. The simulation of the VHDL model during the diagnostic experiment was carried out in the environment Active-HDL.

Текст научной работы на тему «Проведення діагностичних експериментів у керуючих автоматах з використанням синхронізуючих послідовностей»

УДК 681.326

ПРОВЕДЕННЯ Д1АГНОСТИЧНИХ ЕКСПЕРИМЕНТ1В У КЕРУЮЧИХ АВТОМАТАХ З ВИКОРИСТАННЯМ СИНХРОН1ЗУЮЧИХ ПОСЛ1ДОВНОСТЕЙ

ШК1ЛЬ О.С., МРОШНИКМ.А, РОЖНОВА Т.Г.,

ПАХОМОВ Ю.В, КАРАМАНД.Г._

Розглядаються питання пiдвищення надшносп функ-цiонування систем логiчного управлшня в системах газопостачання. Наводиться алгоритм роботи автоматично! газорозподшьно! станцп, граф переходiв керу-ючого автомата та його модель на мовi опису апара-тури. Для дiагностування керуючого автомату пропо-нуеться проводити «неруйшвний» дiагностичний екс-перимент з обходу вах вершин (дуг) графа переходiв автомата з використанням синхрошзуючих послщов-ностей. Наводиться приклад побудови синхрошзую-чо! послiдовностi та дiагностичний експеримент з И використанням. Моделювання VHDL-моделi при про-веденнi дiагностичного експерименту здiйснювалося в середовищi Active-HDL. 1. Вступ

В даний час в електроенергетищ вщбуваються суттев1 змши, пов'язаш з впровадженням нових технологш автоматизацп та енергозбереження. На р1вн1 шдприемств i територш використову-ються автоматизоваш системи керування техно-лопчними процесами, яю, в основному, керують шформацшними потоками. У системах енерго-та газопостачання широко використовуються спещальш локальш системи управлшня i регу-лювання, розташованi на вiддаленiй мюцевосп, наприклад, на трансформаторних пiдстанцiях, газорозподшьних вузлах, пунктах облiку енерго-споживання тощо.

Системи автоматичного регулювання (САР) при-значенi для пiдтримки постшно! або змiни по заданому закону деяко! керовано! величини. Системи автоматичного керування (САК) здшсню-ють сукупний вплив на об'ект, обраний з безлiчi можливих впливiв, спрямованих на досягнення певного критерiю керування. У загальному випа-дку САР i САК можуть будуватися як на основi локальних засобiв автоматичного регулювання, так i з застосуванням цифрових систем автоматичного керування. Таю локальш системи, як правило, реалiзуються на штегральних схемах, виготовлених на замовлення, або мшроконтроле-рах.

Важливим завданням при побудовi САК е забез-печення надiйностi !х функцiонування, що не-можливо без використання автоматичних систем техшчного дiагностування. При проектуванш сучасних систем технiчного дiагностування широко використовуються комп'ютерш технологи автоматизованого проектування з застосуванням мов опису апаратури i сучасно! технолопчно! бази. Даний пiдхiд дозволить реалiзувати систе-

му дiагностування вiддаленого пункту управлшня будь-яко! енергетично! системи без участ людини i без вщключення основно! системи управлшня на тривалий час.

В електроенергетищ i газопостачаннi досить по-ширеш вiддаленi пункти управлiння, якi працю-ють без / або з мшмальною участю людини, а також без використання персональних комп'юте-рiв. При цьому пристро! управлiння реалiзуються на технологiчнiй базi МК, ASIC або ПЛ1С. Неза-лежно вщ способу техшчно! ре^заци зазначенi системи реалiзують оригiнальний алгоритм управлшня, описаний вiдповiдною граф-схемою. При цьому виникае проблема дiагностики правильного функщонування керуючого пристрою без використання показань реальних датчикiв, тому що 1х включення в режим дiагностування може порушити процес функцiонування критичних систем електроенергетики та газопостачання. Процес дiагностування керуючого пристрою мае йти в автономному режимi при вщключенш систем управлiння на досить короткий час. Тому актуальною е задача розробки автоматичних апаратних засобiв дiагностування, якi працюють в автономному режимi без участi людини i гара-нтують задану повноту дiагностування. Ефективнiсть функцiонування автоматизованих систем (АС) в значнш мiрi залежить вiд того, наскiльки повно, при виборi методiв i засобiв контролю, були враховаш особливостi зазначе-них систем як об'ектiв контролю. Без урахування цих особливостей АС практично неможливо встановити для кожно! з них роль i мiсце контролю в управлшш 11 функщонуванням. Специфiка АС як об'ектiв контролю впливае на вибiр мето-дiв i видiв контролю, а також показниюв якостi 1х функцiонування. Вона знаходить свое воображения в принципах формалiзацil i змютовно-го опису процешв контролю, в принципах синтезу функцш працездатностi АС. Метою дiагностування е забезпечення ращона-льно! експлуатаци електрообладнання при зада-них показниках надiйностi i скорочення витрат на технiчне обслуговування i ремонт (ТОР). Ця мета досягаеться шляхом управлiння технiчним станом електрообладнання в процесi експлуата-цИ, що дозволяе проводити ТОР вщповщно до даних дiагностувания.

Основне завдання техшчного дiагностування по-лягае в отриманш достовiрноl шформаци про технiчний стан електро- та газообладнання в процес експлуатаци. Вона вирiшуеться на основi вимiрювання, контролю, аналiзу i обробки кшь-кiсних i якiсних значень параметрiв обладнання, а також шляхом управлшня обладнанням вщпо-вiдно до алгоритму дiагностування.

Аналiз причин виникнення дефектiв обладнання показуе, що технiчний стан кожного з них характеризуешься як тшьки йому притаманними шди-вiдуальними, так i загальними ознаками. Для кожного виду обладнання характерш сво! типовi дефекти, якi багаторазово зустрiчаються в екс-плуатаци. Об'еднавши вс дефекти i ознаки !х появи в окремi групи, отримаемо структуру дiаг-ностування електрообладнання, що складаеться з трьох рiвнiв i тдсистем: перевiрки функцюну-вання, виявлення дефекпв, оцiнки i прогнозу-вання працездатность При цьому на кожному наступному рiвнi використовуються результати попереднiх.

До апаратурних засобiв дiагностування вщно-сяться рiзнi пристро!: прилади, пульти, стенди, спецiальнi промисловi комп'ютери. Апаратурнi пристро!, як складають з об'ектом дiагностуван-ня, конструктивно едине цiле, е вбудованими апаратурними засобами дiагностування. Прикладами подiбних засобiв можуть бути електровим> рювальнi прилади (струму, напруги, потужностi, частоти та iншi), пристро! i^^ra^! технiчного стану елементiв (реле, свiтловипромiнюючi дю-ди, неоновi лампи), пристро! контролю iзоляцi!. Якщо в схемах експлуатаци електрообладнання не передбачено вбудоваш засоби дiагностування або !х виявляеться недостатньо для дiагносту-вання з необхiдною глибиною, то застосовують зовнiшнi апаратурнi засоби дiагностування, ви-конанi окремо вiд конструкцi! обладнання, i тд-ключаються до нього лише в процес дiагносту-вання. Найпростiшими прикладами зовнiшнiх апаратурних засобiв можуть бути комбiнованi прилади для вимiрювання в колах постiйного i змiнного струму, тестери логiчного стану, елект-ронно-променевi та цифровi осцилографи, переноси вимiрювальнi комплекти. Зовшшш спецiалiзованi засоби дiагностування -це пристро!, що використовуються, наприклад, для перевiрки працездатностi окремих елементiв або вузлiв електрообладнання на стадiях техшч-ного контролю пiсля виконання ремонтних робгг. При iснуючому рiзноманiттi вихщних форм опи-су проектiв цифрових пристро!в (ЦП) можна ви-дшити найбiльш популярнi в свiтi: анал^ичш -мови опису апаратури (HDL), графiчнi або вiзуа-льнi - iерархiчнi цифровi структури i схеми, граф-схеми алгоршмв операцiйних або керую-чих пристро!в (flow chart). Одним з поширених способiв вихiдного опису кшцевого керуючого автомата (КА) на мовi опису апаратури е автома-тний шаблон, тобто спецiальна структура HDL-коду, яка будуеться на основi графа переходiв автомата (state diagram) або прямо! структурно! таблиц (ПСТ). Побудова графа переходiв кшцевого автомата на основi шших способiв опису

його функцiонування е мистецтвом проектуваль-ника i особливостями шструментальних 3aco6ÍB систем автоматизованого проектування радюе-лектронно! апаратури (САПР РЕА). Найбшьш складним i витратним етапом в сучас-ному циклi проектування ЦП е функщональна верифiкацiя, тобто процес виявлення, локалiзацi! та усунення помилок в системнiй моделi щодо специфiкацi!, на що витрачаеться бшьше поло-вини загального часу проектування. Основною формою опису проекпв ЦП в САПР РЕА е мови опису апаратури, тому об'ектом верифшацп е модель ЦП, написана на мовi опису апаратури, тобто HDL-модель.

Можливi помилки проектування в HDL-моделях визначаються стилем опису HDL-коду. Помил-кою проектування вважаеться визначення поми-лки в HDL-операторi, яка не вщноситься до кла-су синтаксичних i порушуе алгоритм функщону-вання моделi пристрою, заданий специфiкацiею. Видшення фрагментiв HDL-коду, що описують поведшку кiнцевих автоматiв стилем «автомат-ний шаблон», дозволяе визначити помилку проектування типу «неправильний перехщ у графi переходiв автомата», що вщповщае помилцi у виборi поточного стану в операторi when, поми-лщ вибору наступного стану в функци переходiв (ai замiсть aj), помилщ в операторi if() при анал> зi вхiдного сигналу, помилцi в призначенш вих> дного сигналу. Для проведення дiагностичного експерименту (ДЕ) з пошуку помилок проектування реалiзуеться стратегiя обходу всiх дуг графа переходiв кiнцевого автомата, починаючи з початково! вершини. При цьому перевiряються всi поодинокi несправностi переходiв, а також справностi функцiй автомата, що забезпечують цi переходи [1].

ДЕ над HDL-моделлю кiнцевого автомата поля-гае в подачi на не! вхвдних впливiв, вiдповiдно до обрано! стратегi!' обходу змiстовного графа пере-ходiв, отриманнi вихiдних реакцш на Waveform i порiвняннi отриманих реакцш з еталоном. На пiдставi цього робиться висновок про вщповщ-нiсть HDL-моделi специфiкацi!. ДЕ проводиться з використанням системи верифшаци HDL-моделей (TestBench) в середовищi проектування Active-HDL. При проведеннi ДЕ в простих HDL-моделях КА подача вхвдних впливiв i порiвняння отриманих реакцш з еталонами не представляе особливих труднощiв, навiть в режимi вiзуально-го порiвняння з Waveform, оскшьки тестовi данi подаються безпосередньо на входи автомата, а реакцi! зшмаються з його виходiв. Мета досл1дження - розробка процедур проведення «неруйшвних» дiагностичних експеримен-тiв у моделях керуючих автоматiв на мовах опису апаратури в системi пристро!в управлiння в газопостачаннi.

2. Моделi керуючих автома^в у системах газо-постачання

Сукупнiсть об'екта керування та техшчних пристрой, призначених для нього, називаеться системою автоматичного керування (регулювання) (САК, САР). Основне завдання САК полягае в тому, щоб на основi шформацп про об'ект виро-бити керуючi впливи, що дозволяють тдтриму-вати об'ект в стабшьному станi або перевести його в новий стабшьний стан. Техшчш пристро!, що входять до САК, включають в себе: датчики; пристро!, що визначають закон функщонування об'екта; регулятори, що виробляють керуючi впливи за необхiдним законом керування; керiвнi органи i виконавчi механiзми. Як об'ект керування (ОК) розглянемо автоматич-ну станцiю газорозпод^ (АГРС), розташовану, як правило, на вщдаленш територi! без присут-ност квалiфiкованого персоналу. Сучасна АГРС - це комплекс обладнання i вимiрювальних при-ладiв для регулювання розподшу газу [2]. Автоматична станщя газорозподiлу (або автоматична газорозподшьна станцiя) призначена для зниження тиску газу, його одоризацп, очищення вщ рiдко! фракцi! i механiчних домшок. АГРС працюе в автоматичному режима З метою шд-вищення безпеки та надшност експлуатацi! об-ладнання на АГРС, а також для оперативного централiзованого контролю технологiчних пара-метрiв встановлено комплекс телеметрп. Вiн складаеться з керуючого автомата, набору датчи-юв i табло iндикацi!.

Спрощена функщональна схема роботи АГРС представлена на рис. 1 [3].

1нформащя про роботу АГРС передаеться по бездротовому каналу зв'язку на цифрове табло вдикацп. Воно складаеться зi свiтлодiодiв зеленого i червоного кольору, що вщповщае робочо-му (зелений) i аварiйному (червоний) режиму роботи обладнання АГРС.

ЛГРС-150-75

Рис. 1. Функцюнальна схема роботи АГРС Словесний опис спрощеного алгоритму функщонування АГРС i опис використовуваних датчикiв представлено нижче.

1) Датчик входного тиску Р1 (XI). Тиск на входi контролюеться за допомогою аналогового датчика тиску Р1 (зняття показань Y2), який працюе в дiапазонi 0,3МПа <Р1 < 0,5МПа (Х1=1). Якщо тиск бiльше або менше зазначеного, то XI=0 i на табло iндикацi! буде горгги свiтлодiод червоного кольору (¥8).

2) Датчик температури Т1 (Х2). Датчик темпе-ратури Т1 (зняття показань Y3) працюе в дiапа-зош 10°С <Т 1< 25°С (Х2=1). При пiдвищеннi або зниженш температури бiльше задано! (Х2=0) на табло вдикацп буде переданий аваршний сигнал (¥9).

3) Датчик контролю максимального р1вня газоконденсату Н1 (Х3). Невщ'емною частиною обладнання АГРС е вузол очищення газу вщ рщких до-мiшок i механiчних частинок. Вузол очистки складаеться з двох сепараторiв, якi шдключеш до системи газопроводiв паралельно, що дае !м мож-ливiсть працювати незалежно один вiд одного. У сепараторi вiдбуваеться вiддiлення газу вщ рiдко! фракцi!. Очищений газ проходить через верхню частину сепаратора в газопровщ i далi надходить на регулятор тиску. Контроль максимально мож-ливого заповнення сепаратора здiйснюегься за допомогою датчика Н1 (зняття показань Y4), датчик Н1 працюе в дiапазонi 40%< Н1< 60% (Х3=1). При досягненнi рiдкою фракцiею рiвня 60% - датчик сигналiзуе про переповнення (Х3=0), i на електромагштний солено!дний клапан скидання рщини надходить керуючий сигнал на його вщк-риття (Y12). Рiдина надходить в роздшьну емнiсть для подальшо! обробки та утилiзацi!. Як тшьки рiвень рiдини в сепараторi зменшиться до 40%, електромагнiтний клапан закриеться.

4) Датчик контролю мт1малъного р1вня газоконденсату Н2 (Х4). При досягненш рiвня рiдини 15% спрацюе датчик Н2 (зняття показань Y5) мiнiмального рiвня (Х4=0) i на табло шдикацп буде переданий аваршний сигнал ^10). Зниження рiвня рiдини в сепараторi до 15% (Х4=1) може вiдбутися тiльки в разi зниження тиску на вход^ тобто Р1 < 0,3МПа.

5) Датчик контролю вих1дного тиску Р2 тсля регулятора (Х5). Регулятор тиску на АГРС забезпе-чуе зниження тиску газу до необхвдного (Р2 = 0,1 МПа) i автоматично пiдтримуе його в рамках цьо-го тиску (зняття показань Y6). Датчик впхвдного тиску Р2 пiсля регулятора працюе в дiапазонi 0,05МПа<Р2<0,125МПа (Х5=1). При пiдвищеннi вихвдного тиску Р 2<0,125МПа (Х5=0) спрацьовуе запобiжний скидний клапан (Y13). Вш буде вщк-ритий до тих шр, поки не виконаеться умова Р2<0,125МПа. Якщо з яко!сь причини тиск не вщ-повiдае дiапазону 0,05 МПа < Р2 < 0,125 МПа, тодi аналiзуегься датчик приводу аваршного вiдкритгя клапана ЗСК при шдвищенш тиску (Х7).

6) Датчик загазованост1 прим1щення АГРС СН4 (X6). Загазовашсть примщення АГРС може ви-никнути в разi витоюв газу через фланцевi з'ед-нання або через сальниковi ущiльнення газового обладнання (зняття показань Y7). У безаварш-ному режимi Х6=1. Вибухонебезпечна концент-рацiя газу в примщенш знаходиться в межах вiд 5 до 15%. Датчик загазованосп вже при концен-траци 1% (Х6=0 при СЩ>1%) передае аварiйний сигнал на табло шдикаци (Y11).

7) Датчик приводу авартного в1дкриття клапана ЗСК при тдвищент тиску (X7). Датчик конт-ролюе тшьки тдвищення тиску. При Р 2> 0,125МПа, що вiдповiдае пiдвищенню тиску на 25% вщ робочого (Х7=0), на табло шдикаци буде гор™ свiтлодiод червоного кольору i спрацюе звукова сигналiзацiя (Y14). На рис. 2 представлена спрощена граф-схема алгоритму (ГСА) ро-боти АГРС. Для перетворення ГСА в автоматну модель, необидно вщзначити стани автомата. Як автоматну модель будемо розглядати автомат Мш. Його станами на ГСА позначаються входи вершин, наступних за операторними вершинами [4]. На основi автоматно! моделi Мiлi будуеться граф переходiв КА (рис. 3).

Граф переходiв автомата представляеться на мовi опису апаратури VHDL в формi двопроцесного автоматного шаблону. VHDL-модель автомата Мш представлена в лiстингу 1.

Лютинг 1. VHDL-модель керуючого автомата АГРС

begin

State_CurrentState: process (clk,reset) begin

if rising_edge(clk) then

if reset='1' then State <= A1; else State <= NextState; end if; end if; end process;

State_NextState: process (X, State) begin

Y<= (others=>'0'); case State is when "0001" =>

if (X(0) = '1') then NextState <= A2;

Y(1) <= '1'; elsif (X(0) = '1') then NextState <= A1; else NextState <= A1; end if;

when "0110" =>

if (X(4)='1') then NextState <= A7;

Y(6) <= '1'; elsif (X(4) = '0') then NextState <= A1;

Y(10) <= '1';

else NextState <= A1; end if;

Рис. 2. Граф-схема алгоритму роботи АГРС

z/-( ( a1 н-——X4/Y x7/y

Рис. 3. Граф переход1в керуючого автомата Мш

3. Стратепя дiагностування керуючого автомата

Дiагностичний експеримент (ДЕ) над HDL-моделлю кiнцевого автомата полягае в noAaHi на не! вхiдних BramiB, вiдповiдно до обрано! стратеги обходу змiстовного графа переходiв, отри-мaннi вихiдних реaкцiй на Waveform i порiвняннi отриманих реaкцiй з еталоном. На пiдстaвi цього робиться висновок про вщповщшсть HDL-моделi специфшаци. ДЕ проводиться з викорис-танням системи верифшаци HDL-моделей (TestBench) в середовищi проектування Active-HDL. При проведенш ДЕ в простих HDL-моделях КА подача вхвдних впливiв i порiвняння отриманих реакцш з еталонами не представляе особливих труднощiв, нaвiть в режимi вiзуaльно-го порiвняння з Waveform, оскшьки тестовi дaнi подаються безпосередньо на входи автомата, а реакци знiмaються з його виходiв. Для побудови тесту реaлiзуеться стрaтегiя обходу всiх дуг графа переходiв кiнцевого автомата починаючи з початково! вершини за умови допу-стимостi нaявностi бшьш, нiж одше! дуги at ^ aj (змiшaнa стрaтегiя). Даний шдхщ перед-

бачае проведення так званого «неруйшвного» експерименту, в якому в кшщ кожно! перевiрки автомат лопчно або примусово повертаеться в початковий стан. При цьому перевiряються вс поодинокi неспрaвностi переходiв, а також спра-вностi функцiй автомата, що забезпечують цi переходи. ДЕ над HDL-моделлю кiнцевого автомата полягае в подaчi на не! вхщних впливiв вщ-повiдно до обрано! стратеги обходу змютовного графа переходiв, отримaннi вихiдних реaкцiй у виглядi вихiдних сигнaлiв або списку сташв автомата на Waveform, або списку обходу графа в фaйлi i порiвняннi отриманих реaкцiй з еталоном вiзуaльним або програмним шляхом. На пiдстaвi цього робиться висновок про вщповщшсть HDL-моделi специфшаци. ДЕ проводиться з викорис-танням системи верифшаци HDL-моделей (TestBench) в середовищi проектування Active-HDL моделi aвтомaтiв предстaвленi на мовi VHDL [1].

На пiдстaвi стрaтегi! обходу всiх дуг графа КА (рис. 3) будуеться алгоритм дiaгностувaння з га-рантованою повнотою щодо одиночних несправ-ностей переходiв (наприклад, перехвд а1 - а2 за-мють а1 - а3). Вiн представлений на рис. 4 у ви-глядi бiнaрного дерева рiшень [1]. За допомогою дерева рiшень будуються вaрiaнти обходу дуг графа. При цьому слщ враховувати, що проводиться так званий «неруйшвний ДЕ», коли обхщ дуг графа починаеться з початково! вершини i в нш же зaкiнчуеться. Вaрiaнти обходу графа переходiв КА предстaвленi на рис. 5. На рис. 6 показаний результат моделювання ре-

жиму роботи керуючого автомата, який описуе послщовшсть переходiв А1-А2-А3-А4-А5-А6-А1. Перехiд зi стану в стан вщповщае за rniuja-цiю блокiв i дaтчикiв, описаних в лютингу 1.

Рис. 4. Дерево ршень для графа переход1в КА

а1 - a2 - a3 - a1;

а1 - a2 - a3 - a4 - a1;

a1 - а2 - а3 - a4 - а5 - a6 - а1;

a1 - а2 - а3 - a4 - а5 - a6 - а7 - а8 - а1;

a1 - а2 - а3 - a4 - а5 - a6 - а7 - а9 - а1;

a1 - а2 - а3 - a4 - а5 - a6 - а7 - а9 - а8 - а1.

Рис. 5. Вар1анти обходу графа переход1в КА

Вiдбуваeться шщащя автомата (запуск рiзних блокiв для початку роботи УА (А1 - А2; Х(0) = 1 => Y1 = 1) ) опитування датчика тиску (А3-А4; Y2 = 1), температури (А4-А5; Х(1) = 1 => Y3 = 1) та газоконденсату (А5-А6; Х(3) = 1 => У4 = 1). Пюля опитування кожного датчика вщбуваеться порiвняння !х показникiв з необхщними для по-дальшо! роботи. Позитивна перевiрка (Х(1) = Х(2) = Х(3) = 1) показуе, що показники не пере-вищують допустимi i автомат далi продовжуе коректну роботу. Перевiрка наступного датчика мшмального рiвня газоконденсату не проходить (А6-А7; Х(4) = 0) i виникае аварiйна ситуацiя (Y(10) = 1), яка повертае автомат в початковий стан (А1)._

Signal name Vakje 1+1 iu State 2 ■ 1 1 400 1 1 300 1 j

J SCO ns

Ш Л1 NextState 3

B-oY 1000

fY[1] 0 1 1 1 1

■o Y[2] 1 1 1 г

f Y[3] 0 1 1

■o Y[4] 0 1 1

fY[5] 0 1 1

f YE 0

■o Y[7] 0

i. Y[8] 0

fY[9] 0

fY[10] 0 1 1

f Y[11] 0

fY[12] 0

Y[13] 0

-o Y[14] 0

-dt о to 1 ^LrLTLTLrLTLrLr

■ reset » П

E|i>X FO FO

■>X[0] 1

■>X[1] 1

tX[2] 1

i»X[3] 1

■>X[4] 0

Рис. 6. Waveform роботи КА АГРС

4. Проведення дiагностичного експерименту

Основним завданням при проведенш «неруйшв-ного» дiагностичного експерименту е можли-вiсть повернення автомата в початковий стан незалежно вiд результату чергово! перевiрки. Для цих цiлей доцiльно використовувати синх-ронiзуючi послiдовностi кiнцевих автоматiв [5]. Вхщна послiдовнiсть автомата X3, яка встанов-

люе його в певний кiнцевий стан незалежно вщ стану виходу i початкового стану, називаеться синхронiзуючою послiдовнiстю (СП). Якщо автомат Ж = < X,Л,У,5,Л,ао > заданий

таблицею переходiв-виходiв або графом перехо-дiв, то з визначення випливае, що автомат синх-

рон1зуе

посл1довн1сть

таку,

що

5(а^, Х^ ) = ао, Vа^ е Л, ао е Л . Множина пе-

реходiв 5(а^, Х3) = ао, Vai е Л автомата визна-чае вiдображення множини його сташв Л в як-ийсь певний стан ао при подачi на автомат вхвд-

X

но! послщовносп Xs , тобто а^ —-ао .

Синхронiзуюча послiдовнiсть для заданого автомата може бути знайдена з синхрошзуючого дерева, яке е деревом-наступником, побудованим за певними правилами [5]. На рис. 7 наведено фрагмент побудови синхрошзуючих послщовно-стей для розглянутого автомата Мш.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Фрагмент синхро-шзуючого дерева

Г iiiLi-iiiiiUiijii.iiTasit.i )

-ГТ^ч

Синхрошзуюча посль довтсть для стану а1

CaTaJ

~ J * • *

Г Ll|tl icl-iil^ilTti^tlg 1

' WW

] Ы

1

(a-iiia)

[а^а^уТ) (iwt.-ii?)

it^a-j j

7

J * » #

J (a3a4a5a*)

-is

f a,a «win ] (a^a-iasa,)

(a,a4asa(sa7aiiai,) (а-а^а^ьа^а,'!

Рис. 7. Синхрошзуюч1 послщовносп для керуючого автомата АГРС

Виходячи з синхрошзуючого дерева, визначимо синхропослщовшсть x1 x2 x3 x4 x5 x6 x7xj , або (00100100)

Розглянемо помилкову VHDL-модель з помил-кою проектування типу «помилкове призначення нового стану» (фрагмент коду на рис. 8).

when "0110" =>

if (X(4)='1') then NextState <= A7; Y(6) <= '1'; elsif (X(4) = '0') then NextState <= A4; Y(10) <= '1'; --(зам1сть nextstate <= a1) else NextState <= A1; end if;

Рис. 8. Фрагмент VHDL-модел! автомата з помилковим оператором призначення

Результати моделювання VHDL-модел! з помил-кою призначення наведен! на рис. 9.

Рис. 9. Waveform для помилки призначення нового стану «а4 зашсть а1» з синхрошзуючими послщовностями На рис. 9 СП вщповщае синхропослщовносп 00100100 (в 16-р1чному код! 24), що показано на Waveform, в часовому штервал! (650-750) ns. Таким чином синхропослщовшсть повертае HDL-модель автомата в початковий стан, але для реального пристрою керування може бути визна-чена така синхропослщовшсть, яку ф1зично реа-л1зувати неможливо на данш систем! вхщних датчиюв. Наприклад синхропослщовшсть x1 x2 x3 x4 x5 x6 x7 x1 не може бути реал1зована в стан! А4, тому що реальний тепловий датчик не може в одному цикл! роботи керуючого автомата попадати в робочий д1апазон температур (x2=1), або виходити за його меж (x2=0).

Таким чином, в реальних системах лопчного управлшня при дiагностуваннi систем керування доцiльно використовувати iнший шдхщ, а саме будувати систему iмiтацii вхвдних сигналiв ке-руючого автомата при вщключенш самих датчи-юв [2]. 5. Висновки

Представлений метод автоматизованого комп'ю-терного проектування цифрового пристрою локального управлшня (регулювання) в електрое-нергетицi i газопостачанш. Як приклад обраний контур регулювання автоматично! газорозпо-дiльноi станцп. На основi спрощеного алгоритму функщонування АГРС, представленого ГСА, складаеться автоматна модель у виглядi графа переходiв кшцевого автомата Мiлi. Граф перехо-дiв представляеться на мовi опису апаратури VHDL в формi двопроцесного автоматного шаблону. За допомогою графа переходiв будуеться алгоритм дiагностування пристрою управлшня за стратепею обходу всiх дуг графа, що гарантуе його повноту. Даний шдхщ передбачае прове-дення так званого «неруйшвного» експерименту, в якому в кшщ кожно! перевiрки автомат лопчно або примусово повертаеться в початковий стан. Побудова «неруйшвного» експерименту забезпе-чуеться за рахунок побудови синхропослщовно-стей, яю повертають автомат в початковий стан навпь при наявностi помилок проектування або дефекпв у керуючому автомата Наведений приклад проведення «неруйшвного» дiагностичного експерименту при наявностi помилки проектування в HDL-моделi автомата. Наукова новизна роботи полягае в подальшому розвитку методiв побудови тестових послщовно-стей для проведення «неруйшвного» дiагностич-ного експерименту в керуючому автомат що дозволило проводити неруйшвний дiагностич-ний експеримент навiть для автомаив з несправ-ностями функцш переходiв.

Лiтература: 1. Шкиль А.С. Диагностирование HDL-моделей микропрограммных автоматов / А.С. Шкиль,

3.Н. Кулак, А.С. Серокурова // АСУ и приборы автоматики. 2015. Вып. 172. С. 22-31. 2. Miroshnyk M. A. Model of automated hardware diagnostics of remote energy systems management points / M. A. Miroshnyk, Y. V. Pakhomov // Свгглотехшка та електроенергетика. Млжнародний науково-техшчний журнал. 2017. №3. С. 3-9. 3. Нубарян С.М. Автоматизация систем теплогазоснабжения и вентиляции: Краткий курс лекций / С.М. Нубарян. Харьков: ХНАГХ, 2007. 147 с.

4. Баранов С.И. Синтез микропрограммных автоматов (граф-схемы и автоматы) / С.И. Баранов. Л.: Энергия, 1979. 232 с. 5. Мирошник М.А. Обнаружение ошибок проектирования в HDL-моделях конечных автоматов с использованием синхронизирующих последовательностей. / А.С. Шкиль, М.А. Мирошник, Э.Н. Кулак, А.С. Гребенюк, Д.Е. Кучеренко // Радиоэлектроника и информатика. 2016. №3 (74). С. 39-46.

Транслирований список лггератури:

1. Shkil' A.S. Diagnostirovaniye HDL-modeley mikroprogrammnykh avtomatov / A.S. Shkil', E.N. Kulak, A.S. Serokurova // ASU i pribory avtomatiki.-

2015. Vyp. 172. С. 22-31.

2. Miroshnyk M.A. Model of automated hardware diagnostics of remote energy systems management points / M. A. Miroshnyk, Y. V. Pakhomov. // Light engineering and electric power. International scientific and technical journal. 2017. №3. С. 3-9.

3. Nubaryan S.M. Avtomatizatsiya sistem teplogazosnabzheniya i ventilyatsii: Kratkiy kurs lektsiy / S.M. Nubaryan. Khar'kov: KHNAGKH, 2007. 147 с.

4. Baranov S.I. Sintez mikroprogrammnykh avtomatov (graf-skhemy i avtomaty) / S.I. Baranov. L.: Energiya, 1979. 232 с.

5. Miroshnik M.A. Obnaruzheniye oshibok proyektirovaniya v HDL-modelyakh konechnykh avtomatov s ispol'zovaniyem sinkhroniziruyushchikh posledovatel'nostey. / A.S. Shkil', M.A. Miroshnik, E.N. Kulak, A.S. Grebenyuk, D.Ye. Kucherenko // Radioelektronika i // Radioelektronika i informatika.

2016. №3 (74). С. 39-46.

Поступила в редколегию 28.05.2018 Рецензент: д-р техн. наук, проф. Кривуля Г.Ф. Шкшь Олександр Сергшович, канд. техн. наук, доцент кафедри АПОТ ХНУРЕ. Нaуковi штереси: д1аг-ностика цифрових систем, дистанцшна освгга. Адреса: Украша, 61166, Харшв, пр. Науки, 14, тел. +380 (57) 702-13-26.

М1рошник Марина Анатолпвна, д-р техн. наук, проф. кафедри спецiaлiзовaних комп'ютерних систем Украшського державного ушверситету зaлiзничного транспорту. Нaуковi штереси: дiaгностикa цифрових систем, дiaгностувaння, апаратна криптогрaфiя, на-дшшсть, ПЛ1С. Адреса: Украша, 61001, Харшв, пл. Фейербаха, 7, тел. +380 (57) 710-30-61. Рожнова Тетяна Григор1вна, канд. техн. наук, ст. викладач кафедри АПОТ ХНУРЕ. Нaуковi штереси: дiaгностикa цифрових систем, дистанцшна освгга. Адреса: Украша, 61166, Харшв, пр. Науки, 14, тел. +380 (57) 702-13-26.

Пахомов Юрш Васильович, асистент кафедри екс-плуатаци газових i теплових систем Харквского наць онального унiверситету мюького господарства Гм. О.М.Бекетова. НауковГ штереси: тестопридатне проектування критичних систем лопчного управлшня на основГ шнцевих автомапв. Адреса: Укра!на, 61001, Харшв, вул. Маршала Бажанова, 17, тел. +380 (57) -707-32-65.

Караман Дмитро Григорович, ст. викладач кафедри Автоматики та управлшня в техшчних системах НТУ "ХП1». НауковГ штереси: дГагностування, апаратна криптографГя, надшшсть, ПЛ1С, FPGA. Адреса: Украша, 61001, Харшв, вул. Кирпичова, 2, тел. +380 (57) -707-101, +380 (57) -7076-842.

Shkil Alexander Sergeevich. PhD, Associate Professor, Associate Professor of Design Automation Department, Kharkiv National University of Radioelectronics. Scientific education: diagnostics of digital systems, distance education. Address: Ukraine, 61166, Kharkov, Nauka Avenue, 14, tel. +380 (57) 702-13-26.

Miroshnyk Maryna Anatoliivna, Dr. of Techn. Science, Professor, Professor of the Department of Specialized Computer Systems, Ukrainian State University of Transport Transport, Ukrainian State University of Railway Transport. Scientific education: diagnostics of digital systems, cryptography, reliability, FPGA. Address: Ukraine, 61166, Kharkov, Nauka Avenue, 14, tel. +380(57)710-30-61.

Rozhnova Tatyana Grigorievna Associate Professor, Associate Professor of Design Automation Department, Kharkiv National University of Radioelectronics. Scientific education: diagnostics of digital systems. Address: Ukraine, 61166, Kharkov, pl. Fejrbach, 7, tel. +380 (57) 702-13-26.

Pakhomov Yuriy Vasilovych, Assistant of the Chair exploitation of Gas and Thermal Systems, Kharkiv National University of Urban Economy. Scientific education: diagnostics of digital systems. Address: Ukraine, 61170, Kharkov, Marshal Bazhanov str., 17, tel. +380(57)-707-32-65.

Karaman Dmytro Grygorovych, Senior Lecturer of the Department of Automation and Control in Technical Systems National Technical University "Kharkov Plitechnical Institute". Scientific education: diagnostics, hardware cryptography, reliability, FPGA. Address: Ukraine, 61170, Kharkov, Kirpichov str., 17, tel. +380(57)-707-32-65.

i Надоели баннеры? Вы всегда можете отключить рекламу.