Научная статья на тему 'Проектирование нечеткого регулятора с универсальными идентичными входными и выходными функциями принадлежности'

Проектирование нечеткого регулятора с универсальными идентичными входными и выходными функциями принадлежности Текст научной статьи по специальности «Математика»

CC BY
106
22
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
АВТОМАТИЧЕСКОЕ УПРАВЛЕНИЕ / НЕЧЕТКИЙ РЕГУЛЯТОР / ПРОЕКТИРОВАНИЕ / НЕЧЕТКАЯ ЛОГИКА / ФУНКЦИИ ПРИНАДЛЕЖНОСТИ / MATLAB

Аннотация научной статьи по математике, автор научной работы — Гостев В. И.

Получены аналитические выражения для управляющих воздействий на выходе нечеткого регулятора при универсальных функциях принадлежности и изложены вопросы проектирования нечеткого регулятора.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Проектирование нечеткого регулятора с универсальными идентичными входными и выходными функциями принадлежности»

УПРАВЛІННЯ У ТЕХНІЧНИХ СИСТЕМАХ

УПРАВЛЕНИЕ В ТЕХНИЧЕСКИХ СИСТЕМАХ

CONTROL IN TECHNICAL SYSTEMS

УДК 62-55:681.515

Гостев В. И.

Д-р техн. наук, заведующий кафедрой Государственного университета информационно-коммуникационных технологий (г. Киев)

ПРОЕКТИРОВАНИЕ НЕЧЕТКОГО РЕГУЛЯТОРА С УНИВЕРСАЛЬНЫМИ ИДЕНТИЧНЫМИ ВХОДНЫМИ И ВЫХОДНЫМИ ФУНКЦИЯМИ ПРИНАДЛЕЖНОСТИ

Получены аналитические выражения для управляющих воздействий на выходе нечеткого регулятора при универсальных функциях принадлежности и изложены вопросы проектирования нечеткого регулятора.

Ключевые слова: автоматическое управление, нечеткий регулятор, проектирование, нечеткая логика, функции принадлежности, Matlab.

ВВЕДЕНИЕ

В работе [1] изложен новый метод проектирования нечетких регуляторов. Актуальной является задача проектирования нечетких регуляторов с различными типовыми функциями принадлежности на основе изложенного метода. В данной работе

проектируется нечеткий регулятор с универсальными идентичными входными и выходными функциями принадлежности, структурная схема которого представлена на рис. 1 и подробно описана в работах [13]. Нечеткий регулятор состоит из трех блоков: блока формирователя величин A(t) и B(t) (блок 1), блока сравнения величин A(t) и B(t) и расчета uc (блок 2) и блока нормировки выходной переменной (блок 3).

РЕШЕНИЕ ЗАДАЧИ

Рассмотрим на универсальном множестве U =

= [ 0, 1 ] два нечетких подмножества с функциями принадлежности вида (см. рис. 2)

© Гостев В. И., 2010

Ді( U ) =

и < u < і - a ;

( 1- a)с U, 1- a < u < 1, U, U < u < a ; ( u - a )

( 1-a )c

, a < u < 1.

(1)

Функции принадлежности назовем универсальными, если из них можно получить не менее трех элементарных функций. Записанные выше функции

Рис. 2.

принадлежности отвечают такому условию. Действительно, из формул (1) при а = 0 получаем формулы для возведенных в степень треугольных функций принадлежности (рис. 3.41 [2]), при а = 0, с = 1 получаем формулы для треугольных функций принадлежности (рис. 3.42 [2]), при с = 1 получаем формулы для треугольных функций принадлежности с увеличенным наклоном (рис. 3.47 [2]).

На входе нечеткого регулятора в блоке формирователя величин Л^) и Б(Г) переменные ошибка системы 8*, первая производная ошибки 8 * и вторая производная ошибки 8 *, поступающие в регулятор с шагом квантования к, пересчитываются в переменные м1*, и2*, и3* по формулам

U1* = іВ *+ Aa )/і 2Aa ); U2* = іВ* + Ba )/і 2Ba );

U3* = іВ* + Ca )/і 2Ca )

(2)

ства и = [ 0, 1 ] при симметричных диапазонах изменения переменных Лт = 8тах = -8тт, Бт = 8тах =

= —8т1п, Ст = 8тах = -8тп) и рассчитываются значения функций принадлежности для переменных и1*, и2*, и3*. Точками на универсальном множестве отмечены возможные для какого-то момента времени значения переменных и1*, и2*, и3*.

По алгоритму Мамдани определяются величины А и В по формулам

A = min[Цііu*), ііu*), ііu*)]; B = min[|i2 і u* ), ц2і u* ), |i2 і u* )].

(3)

(это формулы для пересчета значений сигналов в значения элементов единого универсального множе-

На основании формул (1)-(3) построен блок формирователя величин Л(ґ) и Б(ґ) (блок 1 на рис. 1). Этот блок показан на рис. 3.

В схеме формирователя величин Л(ґ) и Б(ґ) при настройке нечеткого регулятора перестраиваются граничные значения диапазонов Лт = 8шах = -8ШІП,

Бт 8шах 8т^ Ст 8тах 8тіп. Коэффициен-

ты а и с при настройке диапазонов обычно задаются постоянными. При изменении значений коэффициентов граничные значения диапазонов требуется подстраивать.

Отметим весьма существенный факт. Какие бы значения ни принимали переменные Мі*, и2*, из* на универсальном множестве и = [ 0, 1 ], в зависимости от соотношений величин А и В «результирующая фигура» может принимать только две конфигу -рации: при Л < Б первая конфигурация показана на рис. 2, а; при Л > Б вторая конфигурация показана на рис. 2, б.

Рис. 3.

Абсцисса «центра тяжести результирующей фигуры» при Л < Б определяется по формуле

1

а + (1- а)Л

Л Г иёи +

0 (1- а)с

а + (1- а)!

Г (и - а)сиёи + Б Г

иёи

а + (1- а)Л

а + (1- а)!

(4)

1

а + (1- а)Л

Л Г ёи +

0 (1- а )с

а + (1 - а)

Г (и - а)сёи + Б Г

ёи

После несложных вычислений находим

а + (1 - а)Л

с+1 с+ 1

а + (1 - а)

2 2 . с + 2 с + 2'

Б + а_ (л - б ) + а(1-а)с I л"7"-б"7"! + ^а-с I л"7"-б

2 2 с +1 ^ J 2( с + 2)

ис = --------------------------------------------------

Б + а (Л - Б) + (1~а|)С 1Л с - Б с +1 ^

Абсцисса «центра тяжести результирующей фигуры» при Л > Б определяется по формуле

(5)

1 - а - (1 - а)Л

Л \ иёи + -

1 - а - (1 - а)і

ис =

(1- а )с 1Л

1- а - (1- а)Л

Г (1- а - и)сиёи + Б Г

иёи

1 - а - (1 - а)і

(6)

1 - а - (1 - а)Л с

Л Г ёи + -

1 - а - (1 - а)Б

(1-а) 1 / с

1-а - (1-а)Л

Г (1- а - и )сёи + Б Г

ёи

1 - а - (1 - а)

После несложных вычислений находим

с+1 с+1

Б + О-ТЇ (•л - Б) л'-'-б'-') + 2са2с I л'+2-б' *г

ис =

. С + 1 с + 1

Б + (1-а)(Л - Б) + (1-а-)С|л 11 - Б с +1

(7)

На основании формул (5) и (7) построен блок сравнения величин Л(ґ) и Б(ґ) и расчета ис (блок 2 на рис. 1). Этот блок показан на рис. 4.

В качестве примера приведем следующие результаты расчетов, которые легко проверяются путем мо- ности (рис. 2)

делирования блока сравнения величин Л(ґ) и Б(ґ) и расчета ис (см. рис. 4):

Л = 0,1; Б = 0,3 ^ ис = 0,6082;

Л = 0,3; Б = 0,1 ^ ис = 0,3918;

- идентичные универсальные функции принадлеж-

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

- идентичные возведенные в степень треугольные функции принадлежности (рис. 3.41 [2])

а = 0, с = 3,

Л = 0,01; Б = 0,3 ^ ис = 0,7272;

Л = 0,3; Б = 0,01 ^ ис = 0,2728;

а = 0,2, с = 3,

Л = 0,01; Б = 0,3 ^ ис = 0,7707;

Л = 0,3; Б = 0,01 ^ ис = 0,2293.

В блоке сравнения величин А(ґ) и В(ґ) и расчета ис производится расчет ненормированного выхода регулятора. Далее полученное значение ис в блоке нормировки выходной переменной пересчитывается в вы-

- идентичные треугольные функции принадлеж- ходное напряжение регулятора по формуле

ности (рис. 3.42 [2])

а = 0, с = 1,

Л = 0,2; Б = 0,4 ^ ис = 0,5608;

Л = 0,4; Б = 0,2 ^ ис = 0,4392;

- идентичные треугольные функции принадлежности с увеличенным наклоном (рис. 3.47 [2])

а = 0,25, с =1,

т * = тшіп (1 -2м-).

(8)

Блок нормировки выходной переменной, построенный по формуле (8), показан на рис. 5.

В блоке нормировки выходной переменной при настройке нечеткого регулятора перестраиваются граничные значения диапазона Бт = ттах = -тт1п.

В динамике при изменении переменных и!(/), и2(/), и3(/) текущие величины А(0 и В(/) поступают

ис =

Рис. 4.

Рис. 5.

в блок сравнения, и рассчитанное на каждом шаге И значение ис (Ґ) в блоке нормировки выходной переменной преобразуется в выходное напряжение т(ґ) по формуле т (0 = тшіп [ 1-2 ис (/)].

ЗАКЛЮЧЕНИЕ

Изложенные теория и практическая схема нечеткого регулятора с универсальными идентичными входными и выходными функциями принадлежности дают возможность использовать такой регулятор в различных системах автоматического управления и путем настройки параметров регулятора добиваться высокого качества систем управления.

СПИСОК ЛИТЕРАТУРЫ

1. Гостев В. И. Новый метод проектирования одного класса нечетких цифровых регуляторов / Гостев В. И. //

Проблемы управления и информатики. - 2007. - № 6. -С. 73-84.

2. Гостев В. И. Нечеткие регуляторы в системах автоматического управления / Гостев В. И. . - К. : Радіоаматор, 2008. - 972 с.

3. Гостев В. И. Фаззи-системы автоматического управления параметрами промышленных объектов: монография / Гостев В. И., Скуртов С. Н. - Нежин : Аспект-Поліграф, 2009. - 432 с.

Надійшла 16.12.2009

Гостєв В. І.

ПРОЕКТУВАННЯ НЕЧІТКОГО РЕГУЛЯТОРА З УНІВЕРСАЛЬНИМИ ІДЕНТИЧНИМИ ВХІДНИМИ І ВИХІДНИМИ ФУНКЦІЯМИ ПРИНАЛЕЖНОСТІ

Отримано аналітичні вирази для керуючих впливів на виході нечіткого регулятора при універсальних функціях належності та викладено питання проектування нечіткого регулятора.

Ключові слова: автоматичне керування, нечіткий регулятор, проектування, нечітка логіка, функції належності, Matlab.

Gostev V. I.

DESIGNING OF A FUZZY CONTROLLER WITH UNIVERSAL IDENTICAL INPUT AND OUTPUT MEMBERSHIP FUNCTIONS

Analytical expressions are derived for control actions at the output of a fuzzy controller at universal membership functions. Principles of fuzzy controller designing are stated.

Key words: automatic control, fuzzy controller, design, fuzzy logic, membership functions, Matlab.

i Надоели баннеры? Вы всегда можете отключить рекламу.