Научная статья на тему 'Оптимизация параметров глубокого анизотропного травления кремния и кремния на стекле для формирования МЭМС'

Оптимизация параметров глубокого анизотропного травления кремния и кремния на стекле для формирования МЭМС Текст научной статьи по специальности «Нанотехнологии»

CC BY
384
42
i Надоели баннеры? Вы всегда можете отключить рекламу.
Область наук
Ключевые слова
БОШ-ПРОЦЕСС / ОПТИМИЗАЦИЯ ТРАВЛЕНИЯ / РЕАКТИВНО-ИОННОЕ ТРАВЛЕНИЕ / КАПСУЛИРОВАННЫЕ ДАТЧИКИ / МЭМС / BOSCH PROCESS / ETCHING OPTIMISATION / REACTIVE ION ETCHING / ENCAPSULATED SENSORS / MEMS

Аннотация научной статьи по нанотехнологиям, автор научной работы — Сергеев А.А., Алиева А.И., Алексеев Р.А.

Целью настоящей работы являлось изучение и оптимизация параметров глубокого анизотропного травления с применением попеременных процессов травления и пассивации монокристаллического кремния и кремния на стекле для формирования капсулированных микроэлектромеханических датчиков. Используемый процесс известен как Бош процесс. Процесс проводили на установке «Oxford Instruments Plasma Pro Estrelas 100». В качестве исходных реагентов на стадии травления использовали гексафторид серы SF6, на стадии пассивации перфторциклобутан C4F8. С помощью методов профилометрии, оптический и растровой электронной микроскопии были получены данные о результатах травления кремния и кремния на стекле. Были выявлены зависимости технологических характеристик от параметров газового разряда. Отношение времён стадии травления к стадии пассивации было основополагающим параметром, влияющим на формируемые микроканавки в кремнии и кремнии на стекле. Также были обнаружены такие негативные эффекты, как подтрав материала, образование микроигл, аспектнозависимый характер травления, часть из которых удалось нивелировать. Были предложены меры по дальнейшему устранению нежелательных эффектов травления: для уменьшения подтрава при травлении кремния на стекле необходимо достичь одинаковой скорости травления разноаспектных микроканавок.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по нанотехнологиям , автор научной работы — Сергеев А.А., Алиева А.И., Алексеев Р.А.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

PARAMETER OPTIMISATION OF DEEP ANISOTROPIC ETCHING OF SILICON AND SILICON ON GLASS FOR ENCAPSULATED MEMS

The aim of the present study was the investigation and optimisation of monocrystal silicon and silicon on glass deep anisotropic cycle etching/passivation process parameters to form encapsulated microelectromechanical sensors. This process is also known as the Bosch process. The process was carried out on «Oxford Instruments Plasma Pro Estrelas 100». The etching reagent was sulfur hexafluoride SF6 and the passivation reagent was perfluorocyclobutane C4F8. The main dependences of technological characteristics on the parameters of the gas discharge were identified. Using methods of profilometry, optical microscopy, and scanning electron microscopy, we got information about etching results. There were some negative effects such as notching, microneedle formation, and aspect ratio dependent etching also known as a reactive ion etching lag. As a result, we suggested some corrective solutions. The etching rate of high-aspect trenches is lower than the etching rate of low-aspect. Consequently, it is necessary to achieve aspect-independent etching of silicon on glass trenches to reduce notching effect.

Текст научной работы на тему «Оптимизация параметров глубокого анизотропного травления кремния и кремния на стекле для формирования МЭМС»

Оптимизация параметров глубокого анизотропного травления кремния и кремния на стекле для формирования МЭМС

Сергеев Алексей Александрович

инженер-технолог, АО «ГИРООПТИКА», sergeev@gyro.ru

Алиева Александра Игоревна

магистр, ФГАОУ ВО СПбПу, alieva.gyro@gmail.com

Алексеев Рустам Александрович

ведущий инженер-технолог, ustam.alekseev.91@mail.ru

АО

«ГИРООПТИКА»,

Целью настоящей работы являлось изучение и оптимизация параметров глубокого анизотропного травления с применением попеременных процессов травления и пассивации монокристаллического кремния и кремния на стекле для формирования капсулированных микроэлектромеханических датчиков. Используемый процесс известен как Бош процесс. Процесс проводили на установке «Oxford Instruments Plasma Pro Estrelas 100». В качестве исходных реагентов на стадии травления использовали гексафторид серы SF6, на стадии пассивации -перфторциклобутан C4F8. С помощью методов профиломет-рии, оптический и растровой электронной микроскопии были получены данные о результатах травления кремния и кремния на стекле. Были выявлены зависимости технологических характеристик от параметров газового разряда. Отношение времён стадии травления к стадии пассивации было основополагающим параметром, влияющим на формируемые микроканавки в кремнии и кремнии на стекле. Также были обнаружены такие негативные эффекты, как подтрав материала, образование микроигл, аспектнозависимый характер травления, часть из которых удалось нивелировать. Были предложены меры по дальнейшему устранению нежелательных эффектов травления: для уменьшения подтрава при травлении кремния на стекле необходимо достичь одинаковой скорости травления разноаспектных микроканавок.

Ключевые слова: Бош-процесс, оптимизация травления, реактивно-ионное травление, капсулированные датчики, МЭМС

Введение

Плазменные процессы широко используются в технологии микро- и наносистемной техники [1-14]. Для формирования высокоаспектных полупроводниковых структур часто используют глубокое реактивно-ионное травление, Бош - процесс. Он представляет собой циклический процесс травления и пассивации материала во фторсодержащей плазме. К достоинствам можно отнести высокие скорости травления, селективность, анизотропию, точность переноса рисунка маски. Без оптимизации процесса могут возникнуть негативные эффекты, такие как неравномерность скоростей травления, подтрав под маску, низкая степень анизотропии, высокая шероховатость стенок канавки [1,2,6-8].

Отдельно необходимо выделить особенности проведения процесса при формировании канавок в кремнии на стекле, что требуется при создании капсулированных микромеханических датчиков. Капсулирование обеспечивает дальнейшую технологическую обработку и эксплуатацию без повреждения подвижных частей датчика, происходит повышение стабильности работы в продолжительный период времени. Также приборы обладают пониженной паразитными ёмкостями по сравнению с датчиками, полученными по технологии кремний на изоляторе [9, 15].

Достаточно полно рассмотрены процессы травления кремния и кремния на изоляторе, в то время как исследований характера Бош - процесса кремния, отделённого от диэлектрика на расстояние, практически не существует [1-3, 5-10]. Поскольку формируемая геометрия стенок активного слоя датчиков непосредственно влияет на выходные характеристики получаемых капсулированных приборов, оптимизация Бош - процесса травления является актуальной задачей. Для достижения поставленной цели необходимо было подготовить экспериментальное оборудование, провести серии экспериментов, проанализировать результаты и предложить меры по устранению нежелательных эффектов.

Материалы и методика эксперимента

Для проведения экспериментов в качестве исходных материалов были выбраны образцы монокристаллического кремния на стекле, линейные размеры которых не превышали нескольких сантиметров, а также пластины монокристаллического кремния и кремния на стекле диаметра 100 мм.

Травление кремния на стекле необходимо для создания капсулированных датчиков, изготавливаемых по технологии «кремний на стекле». Она является новой технологией микро- и наноструктур [5,9,15]. Такие системы представляют собой капсулированные структуры: стекло-кремний-стекло. Капсулирование структур выполняется максимально скоро после их травления, для этого пластину кремний на стекле сращивают со

X X

о

го А с.

X

го m

о

2 О

м о

стеклом в вакууме или инертнои среде при заданном давлении [5].

о сч о сч

<0

О Ш

m

X

<

m О X X

активных веществ к поверхности. Влияние эффекта загрузки можно уменьшить при использовании такого процесса, в котором потеря травителя не зависит от реакции травления.

Рисунок 1. Схематичное изображение капсулированного МЭМС датчика

На рисунке 1 изображена схема капсулированного микроэлектромеханического (далее - МЭМС) датчика: 1 - кремний; 2 - выемка, протравливаемая в кремнии и обеспечивающая движение активного слоя 3; 4 - стекло.

На поверхности материалов был сформирован топологический рисунок с использованием органических фоторезистов. Травление проводили на плазмохимиче-ской установке «Oxford Instruments Plasma Pro Estrelas 100», обеспечивающей генерацию индуктивно-связанной плазмы (далее ИСП) газов-реагентов.

Формирование микроканавок в материале осуществлялось путем Бош-процесса травления кремния. Отдельный цикл используемого процесса состоит их следующих стадий [1-3].

1) Пассивация поверхности боковых стенок и дна формируемых канавок в плазме перфторциклобутана C4F8.

2) Удаление образующейся фторуглеродной плёнки на дне в плазме гексафторида серы SF6.

3) Травление открытой поверхности кремния в плазме гексафторида серы SF6.

Контроль результатов травления проводили с помощью механической профилометрии (ФТИ им. Иоффе), оптической микроскопии (АО «ГИРООПТИКА») и растровой электронной микроскопии (Научный парк СПбГУ).

Для выявления основных физико-химических зависимостей варьировали отношение времён стадии травления к стадии пассивации, мощность генератора индуктивно-связанного разряда, долю подвергаемой травлению поверхности кремния.

Результаты и их обсуждение

В ходе выполнения работы были получены данные о влиянии степени отношения длительности стадии трав-

t

тр

ления к стадии пассивации - на скорость травления

t пас

Утр (рисунок 2, 3). Данные о глубинах травления были получены с помощью механического профилометра. С повышением отношения растут скорости травления и кремния, и фоторезиста, в то время как селективность резко уменьшается.

Скорость травления зависит и от доли подвергаемой травлению поверхности кремния, что представлено на рисунке 3. Так проявляется загрузочный эффект. Его наличие свидетельствует о том, что лимитирующей стадией процесса травления является доставка химически-

Рисунок 2. Зависимость скорости травления от отношения времени стадии травления ко времени стадии пассивации

Рисунок 3. Зависимость скорости травления кремния от доли открытого травлению кремния на пластине при разных отношениях времени шага травления ко времени шага пассивации

На рисунке 4 (а, б), представлены изображения, полученные с помощью растрового электронного микроскопа, результатов различных режимов травления. Подбор параметров процесса травления без учёта факторов может приводить к негативным последствиям.

На рисунке 4а показан профиль канавки, полученнои при использовании рецепта, в котором уменьшали отно-

/

тр

шение длительностей стадий - от 2 до 1,86, в то

г

пас

время как мощность М подводимую к генератору индуктивно-связанной плазмы, увеличивали на 26%. Фоторезист полностью стравился, плазма повредила поверхность и дно канавок. Большую роль в рассматриваемом случае, вероятно, играло увеличение энергии частиц при повышении мощности, происходило физическое распыление.

На рисунке 4б приведено изображение профиля канавки, на дне которой сформировались микроиглы. Возникновение таких структур можно объяснить увеличением толщины фторуглеродной пленки, образующейся на стадии пассивации. Она накапливается на поверхности и проявляет себя как микромаскирующее покрытие. Необходимо подбирать времена стадий таким образом, чтобы полимерная плёнка успевала стравливаться.

Рисунок 4. Изображения профилей канавок, протравленных с использованием неоптимизированных рецептов

В результате травления активного слоя возникало аспектнозависимое травление - явление, при котором канавки с узкие канавки травятся медленнее широких. Скорость травления падает тем сильнее, чем больше становится отношение её глубины к ширине. На рисунке 5 представлено изображение, на котором наблюдается описанный эффект.

Рисунок 6. Изображения протравленного кремния на стекле, полученные с помощью оптической микроскопии

Для того, чтобы окончательно устранить подтрав, необходимо подобрать условия травления, при которых канавки с разными аспектными отношениями протравливались бы с одинаковой скоростью. Это позволит останавливать процесс травления в момент протравливания кремния насквозь.

Типичные значения параметров Бош - процесса для травления структур кремний на стекле приведены в таблице 1.

Таблица 1.

Расход C4F8, см3 мин Расход SF6, см3 мин Давление, мторр Мощность ИСП, Вт

Осаждение 120 5 20 1250

Травление 5 360 80 3000

Рисунок 5. Изображение профилей канавок, полученное с помощью растрового электронного микроскопа

На рисунке 6 показаны изображения протравленного кремния на стекле (вид снизу через стекло). При травлении кремния на стекле наибольшей проблемой является стравливание материала у дна канавки (рисунок 6а: черные пятна - стравленный кремний). Появление рассматриваемого негативного эффекта можно объяснить тем, что в ходе процесса травления поверхность стекла заряжается. Частицы, заряд которых обладает тем же знаком, что и заряд стекла, отражаются от его поверхности и стравливают дно кремниевой канавки [6]. Исходя из результатов проведенных экспериментов, был подобран режим травления, при котором минимизированы негативные эффекты (рисунок 6б).

Рецепт подходит для глубокого анизотропного травления широких элементов геометрии, но требует дальнейшей доработки для травления высокоаспектных структур без нарушения профиля и поверхности широких канавок. Тем не менее он обеспечивает высокую анизотропию травления 89° и равномерность скоростей травления по пластине 92%.

Заключение

В работе рассмотрены основные принципы формирования структур кремния и кремния на стекле. Приведен результат выявления основных зависимостей процесса, оптимизации, удовлетворяющий поставленным требованиям. Было выявлено влияние доли открытого травлению кремния на скорость травления материала -проявление загрузочного эффекта. Эту зависимость необходимо учитывать при проектировании фотошаблонов. При заданной степени открытой травлению поверхности кремния параметр отношения времени ста-

г

тр

дии травления ко времени стадии пассивации -яв-

пас

ляется наиболее основополагающим. При уменьшении отношения могут возникать микроиглы на дне травимых канавок, при увеличении - возникает перетрав, что негативно влияет на выходные характеристики получаемых приборов.

При травлении структур кремний на стекле наибольшей проблемой является перетравливание широких ка-

X X

о

го А с.

X

го т

о

ю

2 О

м о

о сч о сч

<JD

О ш m х

<

m о х

X

навок при дотравливании узких. В дальнейшем планируется провести оптимизацию процессов травления кремния на стекле с достижением аспектнонезависимсого травления, для чего необходимо аккуратно подбирать отношения времен стадии травления к стадии пассивации, мощности индуктивно-связанного разряда.

Литература

1. Амиров И. И., Алов Н. В. Формирование микроструктур на поверхности кремния во фторсодержащей плазме в циклическом процессе травление/пассивация //Химия высоких энергий. - 2008.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

- Т. 42. - №. 2. - С. 164-168.

2. Ануров А. Е., Заботин Ю. М., Подгородецкий С. Г. Особенности процесса глубинного анизотропного травления кремния в технологии изготовления траншейных МОП-транзисторов //Ракетно-космическое приборостроение и информационные системы. - 2015.

- Т. 2. - №. 4. - С. 66-73.

3. Запевалин А. И. Обзор высоко-аспектных процессов травления кремния. // Современная техника и технология № 6. - 2014.

4. Осипов А. А., Александров С. Е., Осипов А. А. Оптимизация технологических параметров процесса плазмохимического травления монокристаллов кварца //Журнал прикладной химии. - 2016. - Т. 89. - №. 6. - С. 66.088.

5. Alekseev R. A., Alieva A. I., Kucal E. Aspect-Independent Siliconglass Etching by Bosch Method //Key Engineering Materials. - Trans Tech Publications Ltd, 2019. - Т. 822. - С. 640-646.

6. Arnold J. C., Sawin H. H. Charging of pattern features during plasma etching //Journal of Applied Physics. - 1991.

- Т. 70. - №. 10. - С. 5314-5317.

7. Giapis K. P. et al. Microscopic and macroscopic uniformity control in plasma etching //Applied Physics Letters.

- 1990. - Т. 57. - №. 10. - С. 983-985.

8. Gottscho R. A. and Jurgensen C. W., "Microscopic Uniformity in Plasma Etching," J. Vac. Sci. Technology B, vol. 10, no. 5, pp. 2133-2147, Sept./Oct. 1992.

9. Ma Z. et al. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application //Sensors. - 2018. - Т. 18. - №. 4. - С. 1240.

10. Morozov O. V., Amirov I. I. Aspect-ratio-independent anisotropic silicon etching in a plasma chemical cyclic process //Russian Microelectronics. - 2007. - Т. 36. - №. 5. -С. 333-341.

11. Osipov A. A., Aleksandrov S. E., Berezenko V. I. Development of Process for Fast Plasma-Chemical Through Etching of Single-Crystal Quartz in SF 6/O 2 Gas Mixture //Russian Journal of Applied Chemistry. - 2018. - Т. 91. -№. 8. - С. 1255-1261.

12. Osipov A. A., Alexandrov S. E. Developing the process for through-etching of single-crystal quartz in inductively coupled plasmas //Materials, Methods & technologies.

- 2018. - Т. 12. - С. 286-294.

13. Osipov A. A., Alexandrov S. E., Osipov A. A. Optimization of technological parameters in plasma chemical etching of quartz single crystals //Russian Journal of Applied Chemistry. - 2016. - Т. 89. - №. 6. - С. 865-870.

14. Osipov A. A. et al. ICP etching of SiC with low surface roughness //Materials Today: Proceedings. - 2020.

15. Popova I. et al. Micromechanical gyros & accelerom-eters for digital navigation & control systems //IEEE Aerospace and Electronic Systems Magazine. - 2009. - T. 24. -№. 5. - C. 33-39

Parameter optimisation of deep anisotropic etching of silicon

and silicon on glass for encapsulated MEMS Sergeev A.A., Alieva A.I., Alekseev R.A.

JSC GYROOPTICS, SPbPU

The aim of the present study was the investigation and optimisation of monocrystal silicon and silicon on glass deep anisotropic cycle etching/passivation process parameters to form encapsulated microelectromechanical sensors. This process is also known as the Bosch process. The process was carried out on «Oxford Instruments Plasma Pro Estrelas 100». The etching reagent was sulfur hexafluoride SF6 and the passivation reagent was perfluorocyclobutane C4F8. The main dependences of technological characteristics on the parameters of the gas discharge were identified. Using methods of profilometry, optical microscopy, and scanning electron microscopy, we got information about etching results. There were some negative effects such as notching, microneedle formation, and aspect ratio dependent etching also known as a reactive ion etching lag. As a result, we suggested some corrective solutions. The etching rate of high-aspect trenches is lower than the etching rate of low-aspect. Consequently, it is necessary to achieve aspect-independent etching of silicon on glass trenches to reduce notching effect. Key words: Bosch process, etching optimisation, reactive ion

etching, encapsulated sensors, mEmS References

1. Amirov I. I., Alov N. V. Formation of microstructures on silicon

surface in a fluorinated plasma via the cyclic etching-passivation process //High Energy Chemistry. - 2008. - T. 42. - №. 2. - C. 132-136.

2. Anurov A. Ye., Zabotin Yu. M., Podgorodetskiy S. G. Osobennosti

protsessa glubinnogo anizotropnogo travleniya kremniya v tekhnologii izgotovleniya transheynykh MOP-tranzistorov //Raketno-kosmicheskoye priborostroyeniye i informatsionnyye sistemy. - 2015. - T. 2. - №. 4. - S. 66-73.

3. Zapevalin A. I. An overview of high-aspect process silicon etching

// Sovremennaya tekhnika i tekhnologiya № 6. - 2014.

4. Osipov A. A., Aleksandrov S. Ye., Osipov A. A. Optimizatsiya

tekhnologicheskikh parametrov protsessa plazmokhimich-eskogo travleniya monokristallov kvartsa //Zhurnal prikladnoy khimii. - 2016. - T. 89. - №. 6. - S. 66.088.

5. Alekseev R. A., Alieva A. I., Kucal E. Aspect-Independent Sil-

iconglass Etching by Bosch Method //Key Engineering Materials. -Trans Tech Publications Ltd, 2019. - T. 822. - S. 640-646.

6. Arnold J. C., Sawin H. H. Charging of pattern features during

plasma etching //Journal of Applied Physics. - 1991. - T. 70. -№. 10. - S. 5314-5317.

7. Giapis K. P. et al. Microscopic and macroscopic uniformity control

in plasma etching //Applied Physics Letters. - 1990. - T. 57. -№. 10. - S. 983-985.

8. Gottscho R. A. and Jurgensen C. W., "Microscopic Uniformity in

Plasma Etching," J. Vac. Sci. Technology B, vol. 10, no. 5, pp. 2133-2147, Sept./Oct. 1992.

9. Ma Z. et al. Key Processes of Silicon-On-Glass MEMS Fabrica-

tion Technology for Gyroscope Application //Sensors. - 2018. -T. 18. - №. 4. - S. 1240.

10. Morozov O. V., Amirov I. I. Aspect-ratio-independent anisotropic silicon etching in a plasma chemical cyclic process //Russian Microelectronics. - 2007. - T. 36. - №. 5. - S. 333-341.

11. Osipov A. A., Aleksandrov S. E., Berezenko V. I. Development of Process for Fast Plasma-Chemical Through Etching of Single-Crystal Quartz in SF 6/O 2 Gas Mixture //Russian Journal of Applied Chemistry. - 2018. - T. 91. - №. 8. - S. 1255-1261.

12. Osipov A. A., Alexandrov S. E. Developing the process for through-etching of single-crystal quartz in inductively coupled plasmas //Materials, Methods & technologies. - 2018. - T. 12.

- S. 286-294.

13. Osipov A. A., Alexandrov S. E., Osipov A. A. Optimization of technological parameters in plasma chemical etching of quartz single crystals //Russian Journal of Applied Chemistry. - 2016.

- T. 89. - №. 6. - S. 865-870.

14. Osipov A. A. et al. ICP etching of SiC with low surface roughness //Materials Today: Proceedings. - 2020.

15. Popova I. et al. Micromechanical gyros & accelerometers for digital navigation & control systems //IEEE Aerospace and Electronic Systems Magazine. - 2009. - T. 24. - №. 5. - S. 33-39

i Надоели баннеры? Вы всегда можете отключить рекламу.