Научная статья на тему 'Обзор международного рынка электронных технологий'

Обзор международного рынка электронных технологий Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
132
38
i Надоели баннеры? Вы всегда можете отключить рекламу.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Хаханов В. И., Обризан В. И., Мельникова О. В.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Обзор международного рынка электронных технологий»

УДК 681.326

В.И. ХАХАНОВ, д-р техн. наук,

В. И.. ОБРИЗАН,

О.В. МЕЛЬНИКОВА

ОБЗОР МЕЖДУНАРОДНОГО РЫНКА ЭЛЕКТРОННЫХ

ТЕХНОЛОГИЙ

Пропонується огляд ринкових тенденцій створення сучасних мобільних цифрових систем. Пропонується технологія проектування цифрових систем на кристалах на базі досвіду розробки апаратних та програмних засобів для SOCs . Надано рекомендації щодо активізації наукових досліджень у найбільш перспективних напрямках, пов’язаних із потребами ринку електронних технологій.

The overview of market tendencies of creation of modern digital systems is offered. Survey of electronic market trends in area of Co-design and Co-verification for System on Chip is offered. The recommendations for research activities activation in most perspective areas are also offered.

Введение. Предлагается обзор рыночных тенденций создания современных мобильных цифровых систем [1]. Предлагается технология проектирования цифровых систем на кристаллах, основанная на опыте разработки аппаратных и программных средств для SOCs, отражающая состояние развития и многообразие чипов. Даны рекомендации для активизации научных исследований в наиболее перспективных

направлениях, связанных с нуждами рынка электронных технологий.

Состояние рынка EDA. Прежде, чем создавать продукт,

ориентированный на продажу на мировом рынке электронных технологий, необходимо сориентировать действия с тенденциями, имеющими место у ведущих фирм мира [2].

Интерес для ученых и практиков, а также для современного украинского рынка научно-технической продукции представляет

соотношение экономических показателей, создающих целостную картину мирового рынка EDA. На рис. 1 представлены вклады четырех ведущих компаний, формирующих практически весь рынок PLD (Programmable Logic Device) кристаллов. Что касется цифр, то доля FPGA (Field Programmable Gate Array) составляет 2,8 миллиардов долларов, а CPLD (Complex Programmable Logic Device) - 0,5. При этом Xilinx и Altera формируют 86% от PLD рынка.

Что касается производителей средств проектирования, то их доля на рынке электронных технологий может быть проиллюстирована следующей диаграммой (четверка лидеров: Cadence, Synopsys, Mentor Graphics, Magma), представленной на рис. 2.

Рис. 2. Рынок производителей EDA

Рынок технологий проектирования. На рынке электронных технологий существует причинно-следственная связь между тремя

основными компонентами, влияющими на компьютерную продукцию. Это -технология изготовления пластин для кристаллов, типы предлагаемых на рынке чипов или кристаллов, языки описания аппаратуры и программных продуктов, EDA-средства для проектирования цифровых систем и сетей на кристаллах [3, 4]. Учитывая, что каждый из трех основных типов кристаллов (PLD, ASIC, CPU) имеет недостатки, производители стараются уменьшить их влияние, объединив достоинства. Так появляются на рынке интересные комбинации: {PLD + ASIC} - Lucent Technologies (OR3TP12), Quick Logic (RAM, PCI, DSP), Cyprus Semicomductor (PCI), Altera (Stratix), Xilinx (Virtex II). Далее интегрируются такие компоненты как {PLD + CPU} - Atmel (FPSLIC), Trincend (E5, A7), Xilinx (Virtex II Pro), Altera (Excalibur){ASIC + CPU} - микроконтроллеры, {PLD + ASIC + CPU}, которые интегрируют быстродействие от ASIC, перепрограммируемость от PLD, гибкость и управляемость от CPU [5, 6].

В настоящее время существенной представляется проблема изначальной избыточности изготавливаемой микросхемы. Сегодня и в будущем производители микросхем создают больше транзисторов на кристалле, чем это нужно для проектировщиков компьютеров: число транзисторов ежегодно увеличивается на 60%, при этом их использование в новых проектах возрастает только на 20 % . Избыточная площадь на кристалле послужила не последней мотивацией для размещения всех компонентов цифровой системы (сети) в чипе. Это позволяет получить выигрыш не только в общей производительности, но и в энергопотреблении, быстродействии, миниатюризации, надежности, стоимости, времени проектирования и изготовления.

Исходя из предложения рынка микроэлектроники наиболее эффективными с позиции быстродействия являются: ASIC - Application-Specific Inegrated Circuit - специализированные микросхемы, разработанные одной фирмой для конкретного изделия. Унификация данных кристаллов приводит к появлению на рынке ASSP - Application Specific Standard Product - специализированных устройств, которые могут быть использованы многими разработчиками. Такие микросхемы в тысячи раз эффективней по стоимости и производительности на ватт, чем микропроцессоры. Однако несмотря на упомянутые преимущества специализированные микросхемы не обладают гибкостью реконфигурирования. Второй недостаток определяется высокой стоимостью разработки масок кристаллов и выпуска микросхемы (1 - 2 миллиона долларов) [7].

Компромиссным электронным решением являются программируемые логические интегральные схемы (ПЛИС или PLD), обладающие производительностью специализированных микросхем и гибкостью микропроцессоров. Такие изделия содержат два слоя: первый включает конфигурируемые для конкретной функции линии и элементы, второй -

память реконфигурации и/или структуры цифрового изделия. Интересные решения предлагают разработчикам и динамически реконфигурируемые чипы. В этом случае любые связи и элементы могут изменяться во время выполнения основных функций микросхемой. При этом за свою гибкость реконфигурации ПЛИС расплачиваются уменьшением производительности, повышением энергоемкости и аппаратурных затрат: 20 транзисторов программируемого кристалла приходится на один транзистор ASIC; память реконфигурации может занимать до 70% общего числа транзисторов микросхемы; длинные линии межсоединений вентилей и транзисторные программируемые коммутационные матрицы значительно замедляют скорость выполнения операций в PLD. На практике сложное цифровое устройство, реализованное на современных ПЛИС, представляет собой изделие, занимающее большую площадь на кристалле, с низким быстродействием, высокой потребляемой мощностью и к тому же дорогостоящее (более 1000$). Следовательно, такие кристаллы уже не подходят для реализации универсального и автономного цифрового устройства по отдельным характеристикам. Предлагаемые на рынок электронные решения должны повысить свое быстродействие и снизить энергопотребление [8, 9].

Интересное направление повышения эффективности используемой площади кристалла связано с динамической реконфигурируемостью функций, над которой работают ведущие компании мира (Intel, Motorola, NEC, Nokia и Texas Instruments). В памяти находятся описания нескольких полезных функций, каждая их которых может быть сконфигурирована на кристалле с помощью супервизора за один цикл. При этом осуществляется экономия энергопотребления, благодаря выполнению в конкретный момент только одной функции.

Кроме того, частичная (полная) динамическая реконфигурируемость позволяет повысить эффективность использования площади кристалла в предположении, что все функции будут востребованы на длительном временном интервале. Компании-разработчики (start-up companies), такие как QuickSilver, Elm Technology, IBM, Matrix Semiconductor, Tezzaron Semiconductor, Ziptronic, создают многослойные микросхемы - пакеты, представляющие собой портативные автономные устройства, в которых внутренние соединения выполняются тысячами вертикальных линий. Это позволяет значительно снизить потребляемую мощность и повысить быстродействие выполнения функций в сравнении с Board-реализацией.

Другой путь усовершенствования ПЛИС - замена исчерпавшей себя SRAM-памяти, имеющей высокую потребляемую мощность, более эффективной энергонезависимой и быстродействующей

магниторезистивной, ферроэлектрической и памятью на аморфных

полупроводниках. Такие решения позволят улучшить функции защиты информации при отключении энергопитания.

Таким образом, новый тип (PLD+CPU) позволит решить задачу создания портативного универсального цифрового устройства. При этом основной функцией микропроцессора будет управление.

Выводы. Выполнен обзор рынка электронных технологий, средств и методов проектирования и верификации цифровых систем на кристаллах, включая типы кристаллов, распространенных на рынке электронных технологий.

Предложена технология проектирования цифровых систем на кристаллах, основанная на опыте разработки аппаратных и программных средств для SOCs, отражающая состояние развития и многообразие чипов, а также современных средств проектирования.

Даны рекомендации по проектированию реальных цифровых систем.

Список литературы: 1. Bass M.J. and Cristensen C.M. The future of the Microprocessor Business. -IEEE Spectrum. - April, 2002. - P. 34 - 39. 2. Don Edenfeld, Andrew B Kahng, Mike Rodgers, and Yervant Zorian, 2003 Technology Roadmap for Semiconductors // Computer. - Janvary 2004. - P. 47 -56. 3. Nick Tredennock, Brion Shimamoto. Go Reconfigure // IEEE Spectrum. - December 2003. - P. 37 - 40. 4. Gupta B. and Borgatti M. Different Approaches to Add Reconfigurability in a SoC Architecture // Design Automation and Test in Eurpe (DATE 03). - 2003. - P. 398 - 402. 5. BorgattiM., Calu L., De Sandre G., ForKt B., Iezzi D., Lertora F., Muzzi G., Pasotti M., Poles M., Rolandi P.L. (STMicroelectronics) A Reconfigurable Signal Processing IC with Embedded FPGA and Multi-Port Flash Memory // Design Automation Conference (DAC 03). - 2003. - P. 691 - 696. 6. Linda Geppert. The New Indelible Memories. // IEEE Spectrum. - March, 2003. - P. 49 - 54. 7. Nikolaos S. Voros, Luis Sanchez, Aleandro Alonso, Alexios N. Birbas, Michael Birbas, Ahmed Jerraya. Hardware-Software CoDesign of Complex Embedded Systems. // Design Automation for Embedded Systems. - Boston: Kluwer Academic Publishers. - 2003. - P. 5 - 34. 8. Yoo S. and Jerraya A. Introduction to Hardware Abstraction Layers for SoC // Design Automation and Test in Europe (DATE 03). - 2003. - P. 336 -338. 9. Vercauteren, S., Steen J.V.D., and Verkest D. Combining Software Synthesis and Hardware-Software Interface Generation to Meet Hard Real-Time Constraints. // DATE’99. - 1999. - P. 177 - 187.

Поступила в редакцию 27.09.2004

i Надоели баннеры? Вы всегда можете отключить рекламу.