Научная статья на тему 'Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com октябрь-декабрь 2003'

Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com октябрь-декабрь 2003 Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
148
53
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Долинский Михаил

Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по декабрь 2003 года выглядит следующим образом.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com октябрь-декабрь 2003»

Компоненты и технологии, № 1'2004

Тенденции и перспективы развития EDA-индустрии

по материалам портала DACafe.com Октябрь-декабрь 2003

Михаил Долинский

dolinsky@gsu.unibel.by

Введение

Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по декабрь 2003 года выглядит следующим образом:

1. Борьба за интероперабельность*

2. Требуются и начинают возникать средства отладки мультипроцессорных систем*

3. Требуются и разрабатываются средства отладки однопроцессорных систем — SoC-платформ: процессор + память + программируемая логика*

4. Генераторы моделей процессоров

5. От C++ к HDL и обратно

6. IP-компоненты процессоров

6.1. ARM шагает по планете*

6.2. MIPS — с отставанием от ARM, но с опережением всех остальных

6.3. И другие процессорные ядра*

6.4. Сетевые процессоры

7. В мире ПЛИС

7.1. ПЛИС расширяют сферу применения*

7.2. Развитие средств проектирования ПЛИС и ASIC*

7.3. Направления и примеры применения — устройства на базе ПЛИС

7.3.1. Цифровая обработка сигналов

7.3.2. Телекоммуникации*

7.3.3. Средства управления движением

7.3.4. Реконфигурация «налету»

7.3.5. Память с шифрованием данных

7.3.6. CAN-контроллеры

7.4. ASIC конвергируют к ПЛИС*

8. IP-компоненты для ПЛИС и ASIC

8.1. DSP-обработка

8.2. Телекоммуникации*

8.3. Шифрование*

8.4. Память**

8.5. Как распространяются IP-компоненты*

9. Верификационные IP-компоненты

9.1. Язык верификации е, среда верификации Specman Elite, фирма Verisity — разработчик e и Specman Elite, верификационные компоненты на языке e — eVC*

9.2. Другие языки и средства верификации симуляцией

9.3. Средства формальной верификации*

10. Прототипирование, эмуляция и отладка ПЛИС и ASIC

10.1. Отладка проектов для ПЛИС

10.2. On-line — прототипирование ASIC

10.3. Персональные средства для прототипирования ASIC*

10.4. Отладчики проектов на кристалле*

11. Отладка программного обеспечения для микроконтроллеров

11.1. Разработки Applied Microsystems

11.2. И все остальные*

11.3. Сетевые микроконтроллеры

11.4. Мультимедиа-микроконтроллеры*

11.5. Другие новости мира микроконтроллеров*

11.6. Операционные системы для встроенных приложений*

11.7. Микроконтроллеры для беспроводных сетей

11.8. Микроконтроллеры с поддержкой шифрования*

11.9. Процессоры цифровой обработки сигналов**

12. Обучение — ключ к продаже

12.1. Очные семинары и конференции*

12.2. Online-обучение*

12.3. Университетские программы

12.4. Обучение через партнерские программы с центрами проектирования

12.5. Документированные проекты*

12.6. Комплексная (многовидовая) служба поддержки

13. Другие ключи к продаже

13.1. Передача маркетинга на сторону*

13.2. Расширение географии*

13.3. On-line — выставки

13.4. On-line — порталы

13.5. On-line — семинары

13.6. Расширение фронта разработок**

14. Интернет-технологии на службе EDA-индустрии*

15. Специализированные СБИС

15.1. Передача данных*

15.2. Сетевая обработка*

15.3. Цифровое телевидение*

15.4. Емкая и быстрая память для мобильных устройств*

15.5. Цифровая камера*

15.6. Суперминиатюрные ИС для 'last-minute' модификаций

Компоненты и технологии, № 1'2004

15.7. Цифровая аудиообработка*

15.8. Самая — самая*

Символами * отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода.

Символами ** отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде.

Рамки статьи вынуждают существенно сократить имеющийся фактический материал (полная версия материала доступна по адресу http://NewIT.gsu.unibel.by/resources/articles/ dolinsky/embedded systems/russian/dv0312.txt).

Вначале рассмотрим динамику развития ранее подмеченных тенденций.

1. Новости в рамках классификации,

приведенной на сентябрь 2003 года

1.1. «1. Борьба за интероперабельность»

В обозреваемом периоде борьба за интероперабельность активизировалась как на уровне устоявшихся ассоциаций (OCP-IP, X-инициатива, OBSAI, MAP-in, in-Sync), так и на уровне новообразованных альянсов (SVCP, VIPPP, VPAC).

К OCP-IP (Open Core Protocol — International Partnership) присоединились Cadence и Summit Design.

UMC присоединилась к X-инициативе (диагональные соединения).

FPGA Stratix GX фирмы Altera соответствуют спецификации интерфейса OBSAI RP3 (Open Base Station Architecture Initiative — Reference Point 3).

Synopsys выпускает C-API к своей базе данных Milkyway, который распространяется бесплатно среди зарегистрированных членов Milkyway Access Program (MAP-in).

Apache Design Solutions (www.apache-da.com) присоединяется к программе in-Sync, выдвинутой Synopsys для достижения интероперабельности.

Synopsys анонсирует SystemVerilog Catalyst Program (SVCP). Члены SVCP получают ранний доступ к разработкам Synopsys по SystemVerilog. Cadence принимает SystemVerilog.

Virage Logic (www.viragelogic.com) анонсирует Virage Logic IP Partner Program.

Verisity, 0-In и Novas анонсировали Strategic VPA (Verification Process Automation) Collaboration для решения проблем верификации SoC.

Texas Instruments и STMicroelectronics выпустили гибкое и открытое решение для cdma2000 1X.

Xilinx Aurora — открытый протокол последовательной передачи данных со скоростью 3.125 Gbps — использован более 1000 раз в проектах на базе Xilinx Virtex II Pro.

Silicon Image присоединилась к программе Pure IP фирмы Verisity.

1.2. «2. Требуются и начинают возникать средства отладки мультипроцессорных систем»

В обозреваемый период заявлено о создании нескольких мультипроцессорных систем. Cypress выпустила нейронный чип для управляющих сетей, а LSI Logic анонсирова-

ла ZSP — плату для ПЦОС на базе ARM+DSP.

1.3. «3. Требуются и разрабатываются средства отладки однопроцессорных систем — SoC-платформ: процессор + память + программируемая логика»

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Продолжает возрастать потребность в средствах отладки. Actel анонсировала Platform8051 и планирует выпустить платформы и для других процессоров. Xilinx FPGA Spartan-3 обеспечивают 32-битный процессор MicroBlaze менее чем за 75 центов. Motorola разработала MXC — специально спроектированную платформу для разработчиков пользовательской электроники.

Теперь о соответствующих средствах автоматизации.

Прежде всего, отметим, что фирма Altium выпустила Nexar — среду разработки встроенных систем на базе FPGA.

Среди других средств автоматизации, упомянутых в обозреваемом периоде, необходимо отметить систему SoCMosaic (базирующуюся на использовании процессоров семейства ARM) от Toshiba, также нацеленную на совместное проектирование программного и аппаратного обеспечения.

Toshiba объявила, что в SoCMosaic будут интегрированы Seamless 5 от Mentor Graphics и SwordFish (эмуляционная FPGA-платформа) от WhiteEagle Systems Technology, разработанная специально для SoCMosaic.

Mentor Graphics анонсировала выпуск для Seamless коверификационных моделей 64-битных MIPS-процессоров RM7000 и RM7900 от PMC-Sierra.

Cypress выпустила С-модель своего 18-ме-габитного поискового процессора Ayama 20000 LA-1 с продвинутой поддержкой сетевых процессоров Intel IXP2400/2800/2850.

Интересные новости пришли от Cadence и Coware: SPW (Signal Processing Worksystem) перешла под начало CoWare в соответствии со стратегическим соглашением между Cadence и CoWare, ориентированным на совместную разработку SLD (System-Level Design) средств нового поколения.

1.4. «5. От C++ к HDL и обратно»

Aldec и Celoxica выпускают Active-HDL+C —

интегрированную HDL/C среду разработки для FPGA, которая позволяет использовать при описании системы как HDL (VHDL или Verilog), так и Handle C. Этот шаг сделан в связи с тем, что размеры и сложность современных устройств, выполненных на базе FPGA, требуют, с одной стороны, более высоких уровней абстракции, основанных на C, а с другой стороны, возможности повторного использования существующих IP-компонентов, выполненных на HDL. Можно симулировать совместно все компоненты проекта, а затем автоматически синтезировать проект для микросхем от Actel, Altera, Xilinx. Обеспечено формирование EDIF-описаний или HDL-нет-листов с SDF (Standard Delay Format).

1.5. «1.6.1. ARM шагает по планете»

ARM в очередной раз продемонстрировала

безоговорочное лидерство на рынке IP-компонентов процессоров: выпустила новые

процессоры ARM1156T2-S и ARM1156T2F-S, новое семейство ARM9E с беспрецедентным соотношением производительности и потребляемой мощности, лицензируемую виртуальную java-машину SecureJC, оптимизированную под процессоры ARM SC100 и SC200 и SystemC-модели (на уровне транзакций) своих процессоров семейства ARM11 для системной верификации. Кроме того, ARM снабдила RealView набором аппаратных средств отладки и анонсировала новый IP-компонент для мобильных устройств памяти. Завидную активность проявили партнеры и пользователи IP-компонентов, лицензированных у ARM: LSI Logic, Atmel, Blue Streak.

1.6. «1.6.3. И другие процессорные ядра»

CEVA разработала новую архитектуру

CEVA-X DSP и продает лицензии на нее.

DesignWare — библиотека IP-компонентов от Synopsys, которая включает, среди прочих, и IP-компоненты микроконтроллеров (8051, 6811) и микропроцессоров (IBM PowerPC 440, Infineon C166, TriCore1, MIPS32 4KE, NEC V850E).

1.7. «7.1. ПЛИС расширяют сферу применения»

В обозреваемый период активно заявили о своем развитии Actel и Xilinx.

По заявлениям представителей Actel, ProASIC Plus (второе поколение FPGA, основанных на флэш-технологии) обеспечивает беспрецедентную гибкость и самую низкую стоимость.

В то же время Actel выпускает самые быстрые в мире FPGA — Axcelerator, сертифицированные для военных приложений.

На это из стана Xilinx поступило 3 ответа: Xilinx FPGA Spartan-3, выполненные по технологии 90 нм с подложкой 300 мм, будут стоить меньше $12 за штуку; Xilinx выпустил бессвинцовые FPGA; по сообщениям представителей Xilinx, Spartan — наиболее популярные в мире дешевые FPGA — продаются со скоростью 6 млн штук за квартал.

1.8. «7.2. Развитие средств проектирования ПЛИС»

Средства проектирования для ПЛИС могут быть разбиты на группы: ввод, логический синтез, физический синтез, эмуляция и отладка.

Translogic BV выпустила HDL Companion — среду для разработки, понимания и поддержки сложных HDL-проектов.

На рынке средств синтеза проявили себя Synplicity, Magma Design Automation, Mentor Graphics и Synopsys.

Synplicity выпустила новые версии Synplify и Synplify Pro, в результате чего существенно повышено качество синтеза для Xilinx FPGA Spartan-3 и Virtex-II Pro, а также добавила новые возможности в Identify.

С момента выпуска компанией Magma в апреле 2003 года средств синтеза Blast Create 4.0 осуществлено более 30 продаж и успешно выполнены 6 проектов.

Infrant Technologies и NEC Electronics America за 3 месяца перевели свою 3-миллион-новентильную 250-мегагерцовую ASIC от RTL к GDSII.

Компоиеиты и технологии, № 1'2004

Fastrack Design успешно использовала Blaste Create при разработке 3,б-миллионно-вентильной ASIC с частотой 3З0 МГц.

Broadcom с помощью Blaste Create выпустила сетевой свич — 1З млн вентилей. На использование Blast Create перешли QThink, NEC Electronics America, Spike Technologies.

Veritool интегрирует свой Undertow с Blast Create от Magma.

Очередную лепту в развитие средств синтеза внесла Mentor Graphics. Precision Physical Synthesis фирмы Mentor Graphics теперь обеспечивает проектирование сложных FPGA.

Synopsys не могла не ответить на ход Mentor и анонсировала версии Galaxy Design и Discovery Verification Platform для Intel Itanium 2.

И, наконец, Xilinx выпустила System Generator for DSP V.6.1, что позволит пользователям интегрировать непосредственно в Simulink фирмы MathWork свое аппаратное обеспечение, реализованное на FPGA, используя интерфейс JTAG.

1.9. «7.3.2. Телекоммуникации»

FPPA семейства eX фирмы Actel обеспечили секретность проекта для беспроводного цифрового игрового устройства компании X-traFun.

Xilinx и Intelliga выпустили устройство для передачи данных на базе FPGA Spartan-3.

Xilinx анонсировала интероперабельность Xilinx Virtex Pro с IBM High Speed SERDES.

Lattice Semiconductor выпускает низкопотребляющую FPSC для SPI4.2.

1.10 «1.7.4. ASIC конвергируют к ПЛИС»

Cadence помогла Motorola выпустить первое устройство типа Reconfigurable Compute Fabric по технологии 130 нм.

Cadence помогла NEC Electronics выпустить ASIC-платформу ISSP (Instant Silicon Solution Platform) — семейство структурированных ASIC.

MathStar и Summit Design выпустили SystemC-библиотеки для Visual Elite, ориентированные на технологию FPOA (Field Programmable Object Arrays), — компромиссное решение между ASIC и FPGA.

На DesignCon 2004 планируется дискуссия «Проектирование на FPGA против проектирования на ASIC», спонсируемая Mentor Graphics. Обсуждаться будет также и «свежая инициатива» — Structured ASIC. Направление FPGA будут отстаивать представители Xilinx и Altera, а направление ASIC — представители Toshiba America и LSI Logic.

1.11. «8.2. Телекоммуникации»

Mentor Graphics анонсирует IP-компонент — гибкое решение для PCI Express.

Altera выпускает по цене $7. Turbo-сопроцессор для кодирования по стандарту 3GPP HSDPA; $8 стоит FPGA семейства Altera Cyclone, для которой разработан соответствующий IP-компонент.

MorethanIP выпускает IP-компоненты гигабитного оптического канала для военных приложений для Atmel FPGA семейства Axcelerator на базе архитектуры AX.

IP-компоненты от Synopsys (DesignWare USB Host и PHY) получили сертификацию на соответствие Hi-Speed USB 2.0.

1.12. «8.3. Шифрование»

VOCAL добавляет аппаратную функциональность AES (Advanced Encryption Standard) к 32-разрядным процессорам MIPS, которая обеспечивает высокую секретность при относительно низких требованиях к вычислительной мощности.

1.13. «8.5. Как распространяются IP-компоненты»

VCX Software и IBSystems анонсируют партнерство в распространении IP-информации на EDACafe.com.

Semiconductor Manufacturing International Corporation (SMIC) выбрала Virage Logic в качестве IP-провайдера.

Fabless Semiconductor Association (FSA) обнародовала десятку лучших fabless-компаний: (в порядке убывания доходов от деятельности) QUALCOMM CDMA, NVIDIA, Broadcom, ATI Technologies, Xilinx, MediaTek, SanDisk, Marvell Technology, Altera, Conexant.

Xilinx использовала IP-компонент от Virtual Silicon в 90-нанометровом FPGA Spartan-3.

1.14. «9.1. Язык верификации e, среда верификации Specman Elite, фирма Verbify— разработчик e и Specman Elite, верификационные компоненты на языке e — eVC»

Verisity выпустила vManager, предназначенный для управления распределенной многоуровневой верификацией.

ClearSpeed с первой попытки выпустила чип акселерации вычислений с плавающей точкой CS301 благодаря использованию технологии VPA (Verification Process Automation) от Verisity.

Silicon Image присоединилась к программе Pure IP фирмы Verisity.

1.15. «9.3. Средства формальной верификации»

0-In разработала два монитора: для SAS (Serial Attached SCSI) и PCI-X 2.0.

0-In выпустила ABV (Assertion-Based Verification) Suite версии 2.1 для формальной верификации проектов.

Atrenta и Aptix создают средства предсказательного анализа для платформ прототипирования. Они разработали множество правил RTL-кодирования, выполнение которых обеспечивает более эффективное отображение проектов на платформу прототипирования Aptix, скомпонованную из множества FPGA.

1.16. «10.3. Персональные средства для прототипирования ASIC»

Mentor Graphics анонсирует масштабируемую верификационную платформу, базирующуюся на симуляторе ModelSim 5.8, возможности которого существенно развиты в направлении верификации на системном уровне и отладки ASIC и SoC.

HARDI Electronics выпускает HAPS-FPGA_2x3 — новый одночипный FPGA-модуль семейства средств прототипирования HAPS, который может быть использован для прототипирования до 1 млн ASIC-вентилей, работающих на частоте до 200 МГц. Texas Instruments уже использует средства эмуляции от HAPS Electronics. В результате сотрудничества HARDI и Synplicity синтезатор Certify от Synplicity оптимизирован под средства эмуляции от HARDI.

1.17. «10.4. Отладчики проектов на кристалле»

Silicon Canvas выпускает Laker T1 — средства автоматизации создания тестовых структур и продает его ASPLA в качестве платформы тестирования чипов.

1.18. «11.2. И все остальные»

Altium выпустила версию TASKING для нового 16-битного микроконтроллера R8C/Tiny фирмы Renesas Technology.

Synopsys обеспечивает мониторинг секретности своих беспроводных сетей с помощью AirDefense.

FLIP (Flexible In-system Programmer Software) распространяется бесплатно с сайта Atmel.

1.19. «11.4. Мультимедиа-микроконтроллеры»

Atmel включила в низкопотребляющий однокристальный микроконтроллер все необходимые интерфейсы для MP3-приложений.

1.20. «11.5. Другие новости мира микроконтроллеров»

Motorola расширяет семейство 16-битных микроконтроллеров HCS12.

Texas Instruments анонсирует первый од-ночипный микроконтроллер для электронных измерений и обнаружения вращательного движения.

1.21. «11.6. Операционные системы для встроенных приложений»

Среди упомянутых в отчетном периоде RTOS — Nucleus NET от Accelerated Technology, Symbian OS (Symbian) и Neutrino от QNX.

Accelerated Technology объявила о соответствии Nucleus NET стандарту OSEK (стандарт операционной системы для систем автоматизации в автомобилях).

Сетевые компоненты Nucleus NET от Accelerated Technology сертифицированы с помощью тестового продукта ANVL фирмы Ixia. ANVL (Automated Network Validation Library) — это фактически стандартное приложение для тестирования на совместимость с сетевыми протоколами.

RTOS Nucleus от Accelerated Technology полностью поддерживает 32-битный RISC-процессор S1C33209 от Seiko Epson Corporation.

RTOS Nucleus от Accelerated Technology работает в GPS-системе для профессиональной авиации от Garmin.

Accelerated Technology расширяет помощь разработчикам смартфонов, предлагая поддержку Symbian OS в своем XRAY Debugger.

RTOS Neutrino фирмы QNX поддерживает Xilinx Virtex-II Pro.

1.22. «11.8. Микроконтроллеры с поддержкой шифрования»

Accelerated Technology выпустила Nucleus Cipher AES (Advanced Encryption Standard).

Компания Atmel первой получила сертификацию EAL4+ на криптоконтроллер AT90SC3232CS.

1.23. «12.1. Очные семинары и конференции»

Серия семинаров Verify2003 по верификации в США, Канаде и Европе. Спонсоры семинаров — Axis Systems, CoWare, Denali Software, Novas Software, Sun Microsystems, Verisity Design. В программе семинаров — языки SystemC, PSL, SystemVerilog и методы их использования.

Компоненты и технологии, № 1'2004

Altera проводит в Великобритании, Финляндии, Франции и Швеции конференции SOPC World 2003.

Synopsys Users Group in Europe организует конференцию 6-7 мая 2004 года в Мюнхене (Германия). Впервые SNUG Europe состоится не совместно с конференцией DATE.

Определены приглашенные лекторы DesignCon 2004. IEC (The International Engineering Consortium) назвал трех человек: Jan Rabaey (профессор и директор Gigascale Silicon Research Center в University of California, научный со-директор Berkeley Wireless Research Center), Aart de Geus (президент Synopsys и председатель Silicon Valley Manufacturing Group), Tsugio Makimoto (научный советник Sony Corporation, стал IEEE Fellow в 1997 году, вел пионерские работы по новым типам микропроцессоров).

1.24. «12.2. Online-обучение»

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Summit Design и Willamette HDL совместно проводят тренинги по работе с Visual Elite и использованию SystemC. На нынешней DAC (Design Automation Conference 2003) подчеркивалось, что растущая сложность современных чипов приводит к потребности перехода от RTL-проектирования (Register-Transfer Level) к ESL-проектированию (Electronic System Level). При этом сегодня SystemC считается наиболее адекватным средством ESL-проектирования. Именно поэтому обучение работе с SystemC сегодня актуально. Visual Elite является высокопроизводительной платформой для симуляции и анализа архитектур, поддерживающей одновременную разработку аппаратного и программного обеспечения.

Mentor Graphics анонсирует бесплатные онлайновые курсы по проектированию печатных плат PADS-Designer, PADS-PowerPCB и PADS-BlazeRouter. PADS — это средство проектирования печатных плат, разработанное в Mentor.

1.25. «12.5. Документированные проекты»

Synopsys и Toshiba разрабатывают совместно документированный проект по встроенному процессору для медиаприложений — MeP. MeP — это синтезируемое описание уникальной конфигурируемой архитектуры, которая может быть адаптирована для высокопроизводительных мультимедиаприложений, таких, как видео, обработка образов и аудио, сетевая обработка.

Xilinx выпускает бесплатный документированный проект ультракомпактного контроллера UltraController на базе Virtex-II Pro (IBM Power PC 405).

1.26. «13.1. Передача маркетинга на сторону

E*ECAD распространяет разработки Translogic EASE, HDL Companion и EALE на условиях помесячной ренты или постоянной лицензии.

Avnet и Xilinx распространяют дешевый ($449) design-kit для разработки последовательного ввода-вывода на базе Virtex-II Pro.

1.27. «13.2. Расширение географии»

Xilinx представляет сеть лабораторий RocketLabs для Virtex-II Pro, занимающихся проектированием высокоскоростных систем последовательной передачи данных одновременно в 15 различных точках мира.

Altera анонсирует конференции SOPC World в Китае. Темы конференций, которые пройдут в Шанхае и Бенине — встроенные системы, цифровая обработка сигналов, 90-на-нометровые FPGA.

CVR College of Engineering (Индия) получил от Cadence средства разработки VLSI. Cadence проведет там также семестровое обучение проектированию SoC.

В рамках сотрудничества Cadence поможет Китаю запустить на базе его 9 ведущих университетов программу China National IC Design Talent Incubation Project.

Созданный Cadence совместно Beijing IC and System Design Institute (Китай) Zhongguancun Cadence Institute of Software Technology (ZCIST) получил первое финансирование в размере $30 млн.

Mentor Graphics и Peking University создали в Китае учебный центр по верификации SoC. MPRC (Microprocessor Research and Development Center) при Peking University (Beijing) развернул этот центр на базе эмулятора VStation PRO фирмы Mentor Graphics.

Altium устанавливает прямое присутствие в Китае. MCU Beijing Open Lab (BOL) System назначена официальным реселлером в северных провинциях, Shanghai Sunwei — в восточных провинциях, Shenzhen Chinasky Technology — в южных провинциях. Представительство Alium в Китае предполагается открыть в январе 2004 года в Шанхае.

Virage Logic расширяет поддержку японского рынка. Подразделение Virage Logic K.K., открытое в Японии в 2002 году для продажи, теперь снабжено функциями поддержки пользователей.

Анализ этих сообщений показывает, что наиболее привлекательный рынок сейчас — Китай, а наиболее активные игроки на нем — крупнейшие производители FPGA (Xilinx и Altera) и крупнейшие разработчики средств EDA (Cadence и Mentor).

1.28. «14. Интернет-технологии на службе EDA-индустрии»

Dacafe.com посещают ежемесячно более 400 тыс. инженеров.

1.29. «15.1. Передача данных»

Agere Systems выпустила чип SerDes с производительностью от 1 до 10 Гбит/с для сетевых устройств памяти.

Agilent Technologies выпускает контроллер оптического канала с обнаружением ошибок для приложений сетевого хранения информации. По мере возрастания скорости передачи информации от 2-4 до 10 Гбит/с потребность в обнаружении и коррекции некорректных данных становится жизненно важной. Сегодняшние методы основаны на собственных схемах и их важным недостатком является отсутствие интероперабельности на системном уровне, необходимой для универсального обнаружения и коррекции ошибок в SCSI-устройствах. Контроллер оптического канала HPFC-5600 Tachyon DX2+ от Agilent использует EDC, чтобы пометить ошибочные данные и информировать о них программный драйвер подсистемы памяти. Этот драйвер может принять меры для восстановления данных.

Infineon продемонстрировала модуль XPAK, обеспечивший передачу без ошибок со скоростью 10 Гбит/с в сети Ethernet на расстояние более 300 метров в существующей оптической сети.

Broadcom поставляет одночипные Bluetooth-решения для семейств мобильных телефонов фирмы Motorola.

Lattice выпускает ORT82G5 — FPSC типа 4G для оптических каналов, который способен передавать без ошибок данные со скоростью 4,25 Гбит/с на расстояние 6 дюймов на печатной плате и 26 дюймов на коаксиальном кабеле.

Zeevo выпустила ZV4002 — одночипное решение для Bluetooth 1.2.

Cypress анонсировала передатчик, приемопередатчик и комплект разработчика для WirelessUSB.

Zarlink выпустила ZL60012 и ZL60013 — оптические ресиверы для высококачественного цифрового телевидения.

1.30. «15.2. Сетевая обработка»

Zarlink первой создает однокристальный

процессор (ZL50130) для слияния IP/Ethernet. Этот процессор распространяет быстрый Ethernet-трафик через PSN (packet-switched networks), используя 'pseudo-wires' в соответствии со стандартом 'Martini draft', устанавливающим стадии для менее дорогих и более эффективных сервисов данных.

1.31. «15.3. Цифровое телевидение»

Micron выпускает 2- и 3-мегапиксельные

датчики образов MT9D001 и MT9T001, обеспечивающие производительность 20 и 30 фреймов в секунду соответственно.

1.32. «15.4. Емкая и быстрая память для мобильных устройств»

Motorola выпустила первый в мире чип 4 M6ot MRAM. MRAM (magnetoresistive random access memory) — это энергонезависимая память, характеризующаяся скоростью, надежностью и низким потреблением энергии.

1.33. «15.5. Цифровая камера»

Micron Technology анонсирует MT9V403 — высокоскоростной сенсор образов на базе технологии TrueSNAP (True Shutter Node Active Pixel — обеспечивает производительность до 200 образов в секунду при полном разрешении).

1.34. «15.7. Цифровая аудиообработка»

Agere анонсирует самый быстрый в мире

сетевой процессор для ATM-передачи голосовой информации. APP100 может обрабатывать одновременно 32 000 голосовых сигналов (622 Мбит/с) — это в 4 раза больше, чем ближайшие конкуренты.

1.35. «15.8. Самая-самая»

Исследователи из Intel разработали новую технологию изготовления транзистора для процессоров новых поколений — с меньшим потреблением электроэнергии и меньшей теплоотдачей. Новый материал назван 'high-k'. Пресс-служба Intel утверждает, что новый материал в 100 раз сокращает ток утечки в транзисторе.

Micron Technology выпускает самую быструю флэш-память для мобильных устройств с напряжением питания 1,8 В (время доступа 60 нс, пиковая частота 81 МГц, объем — 64 Мбит).

Компоненты и технологии, № 1'2004

Micron Technology выпускает первую 256 Мбайт DDR2 — 400 МГц.

Micron Technology анонсирует первые образцы 1-Гбит DDR2 SDRAM.

Texas Instruments выпускает семейство логических микросхем с наименьшим энергопотреблением для портативных приборов AUP (Advanced Ultra Low Power), которое потребляет на 91% меньше энергии в статическом режиме (ток 0,9 мкА) и на 83% процента меньше энергии в динамическом режиме, что увеличивает срок службы батарей на 73%. При этом время задержки составляет 2 нс при напряжении питания 3 В и 3 нс при напряжении питания 1,8 В.

Zarlink Semiconductor выпустила ZL30461 — осциллятор 20 МГц самого маленького размера (23x23 мм).

Cypress выпускает двухпортовую 18-мега-битную RAM FLEx72DP.

2. Новости, развивающие классификацию

2.1. «8.4. Память»

NVM (non-volatile memory), спроектированная Virage Logic, лицензирована NEC Electronics. Эта память может быть до 1000 раз перепрограммирована в системе SoC.

Virage Logic заключила многолетнее соглашение с LSI Logic по 90-нанометровой памяти. LSI Logic лицензировала у Virage Logic компоненты памяти STAR (Self-Test and Repair) и ASAP (Area, Speed and Power) для последующего их включения в свою платформу RapidChip.

2.2. «11.9. Процессоры цифровой обработки сигналов»

DSP TMS320C64x обеспечивает минимальную стоимость для высокопроизводительных приложений в сетевой обработке, инфраструктуре телекоммуникаций, качественной обработке образов. DSP C6412 включает множество внутрикристальных периферийных устройств, а также внутрикристальную RAM (288 кбайт SRAM). Поддерживается совместимость кода с другими DSP семейства C6000.

NVIDIA выпустила графический сопроцессор Quadro FX 1100. Отличительные особенности Quadro FX 1100: конвейеризованный 128-битный процессор плавающей арифметики, 128 Мбайт графической памяти.

2.3. «13.6. Расширение фронта разработок»

Mentor Graphics купила FirstEarth. FirstEarth — это британская компания, основанная в 1997 году и разрабатывающая программное обеспечение анализа проектов электрических проводных систем в автомобильной, железнодорожной и авиационной отраслях.

Cypress Semiconductor приобрела Cascade Semiconductor Corporation с целью расширения своего присутствия на рынке беспроводных устройств. Cascade Semiconductor — fabless-компания, которая проектирует и продает низкопотребляющие устройства памяти для рынка беспроводных коммуникаций.

Magma купила Silicon Metrics и Random Logic Corporation и заключила лицензионное соглашение с Circuit Semantics. Это сделано для обеспечения эффективной поддержки проектирования по технологиям 90 нм и ниже.

Объединяются два ведущих тайваньских центра проектирования интегральных схем — AMIC Technologies и HBA. Цель слияния — повышение конкурентоспособности.

Verisity купила Axis Systems. Axis предлагает уникальную технологию симуляции, которая поддерживает все языки, встроенное программное обеспечение и верификацию на системном уровне. Axis обеспечивает также аппаратную акселерацию, эмуляцию и совместную верификацию программного и аппаратного обеспечения. Verisity хочет упрочить свои позиции на рынке функциональной верификации. По оценкам Gartner Dataquest, этот рынок превысит миллиард долларов в ближайшие несколько лет.

Rambus купила разработки Velio Communications по последовательным интерфейсам, включая соответствующие патенты и лицензионный бизнес.

Заключение

Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала www.dacafe.com в период с января 2001 по декабрь 2003 года.

Полный текст хронологических DACAFE-новостей с января 2001 года можно найти на сайте NewIT.gsu.unibel.by.

Цель данных материалов — помочь участникам EDA-индустрии (создателям средств автоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонентов и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA-индустрии.

i Надоели баннеры? Вы всегда можете отключить рекламу.