Научная статья на тему 'Математическое моделирование выпрямительно- инверторного преобразователя электровоза в аварийных режимах с типовым и предлагаемым способами управления'

Математическое моделирование выпрямительно- инверторного преобразователя электровоза в аварийных режимах с типовым и предлагаемым способами управления Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
114
13
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
электровоз / режим тяги / выпрямительно-инверторный преобразователь (ВИП) / тиристор / тяговая сеть / регулируемое напряжение / тяговый двигатель / locomotive / mode of traction / rectifier- inverter converter (RIC) / thyristor / traction network / regulated voltage / tractive engine

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Мельниченко Олег Валерьевич

Теоретически исследованы аварийные процессы, возникающие в выпрямительно-инверторных преобразователях электровоза в режиме тяги, связанные с пропуском импульсов управления на их тиристорные плечи. Предложен способ повышения работоспособности выпрямителя электровоза при возникновении аварийных режимов.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по электротехнике, электронной технике, информационным технологиям , автор научной работы — Мельниченко Олег Валерьевич

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

MATHEMATICAL MODELING OF ELECTRIC LOCOMOTIVE RECTIFIER-INVERTER CONVERTER IN EMERGENCY MODE WITH STANDARD AND SUGGESTED METHODS OF CONTROLLING

The processes of emergency arising in rectifier-inverter converters in electric traction mode and associated with the omission of control pulses on its thyristor arms are investigated theoretically. A way to increase efficiency of electric locomotive rectifier at emergency modes is proposed.

Текст научной работы на тему «Математическое моделирование выпрямительно- инверторного преобразователя электровоза в аварийных режимах с типовым и предлагаемым способами управления»

УДК 656.224 Мельниченко Олег Валерьевич,

к. т. н., доцент, Иркутский государственный университет путей сообщения

тел. (83952) 638-366, e-mail: melnichenko@irgups.ru

МАТЕМАТИЧЕСКОЕ МОДЕЛИРОВАНИЕ ВЫПРЯМИТЕЛЬНО-ИНВЕРТОРНОГО ПРЕОБРАЗОВАТЕЛЯ ЭЛЕКТРОВОЗА В АВАРИЙНЫХ РЕЖИМАХ С ТИПОВЫМ И ПРЕДЛАГАЕМЫМ СПОСОБАМИ УПРАВЛЕНИЯ

O.V. Melnichenko

MATHEMATICAL MODELING OF ELECTRIC LOCOMOTIVE RECTIFIER-INVERTER CONVERTER IN EMERGENCY MODE WITH STANDARD AND SUGGESTED METHODS OF CONTROLLING

Аннотация. Теоретически исследованы аварийные процессы, возникающие в выпрями-тельно-инверторных преобразователях электровоза в режиме тяги, связанные с пропуском импульсов управления на их тиристорные плечи.

Предложен способ повышения работоспособности выпрямителя электровоза при возникновении аварийных режимов.

Ключевые слова: электровоз, режим тяги, выпрямительно-инверторный преобразователь (ВИП), тиристор, тяговая сеть, регулируемое напряжение, тяговый двигатель.

Abstract. The processes of emergency arising in rectifier-inverter converters in electric traction mode and associated with the omission of control pulses on its thyristor arms are investigated theoretically.

A way to increase efficiency of electric locomotive rectifier at emergency modes is proposed.

Keywords: locomotive, mode of traction, rectifier-inverter converter (RIC), thyristor, traction network, regulated voltage, tractive engine.

Испытания электровоза серии ВЛ80Р на экспериментальном кольце ОАО «ВНИИЖТ» показывают, что отказ в работе элементов системы управления приводит к отказу в работе выпрями-тельно-инверторных преобразователей (ВИП) [1]. В режиме тяги электровоза это может привести к возникновению аварийных процессов, связанных с нарушением работы плеч ВИП в отдельные полупериоды напряжения, выходом из строя отдельных тиристоров, броскам выпрямленного тока нагрузки и, как следствие, к остановке электровоза и вызову вспомогательного локомотива.

На сегодняшний день выход из строя любо-

го элемента или узла системы управления не должен приводить к повреждениям силовых цепей электровоза или к возникновению аварийного режима, что могло бы нарушить бесперебойность движения поездов. Для достижения этой цели предлагается изменить типовой алгоритм управления четырёхзонного ВИП [2] на предлагаемый [3, 4].

Для того чтобы проверить предлагаемый способ управления ВИП с точки зрения повышения работоспособности электровоза при пропуске системой управления импульсов, что в эксплуатационной практике встречается довольно часто, разработаем математические модели штатного и предлагаемого ВИП с их алгоритмами управления на 4-й зоне регулирования.

Схема замещения ВИП для режима выпрямителя на 4-й зоне регулирования с типовым алгоритмом управления представлена на рис. 1. Штриховой линией показаны контуры коммутации выпрямителя.

Для анализа процессов и расчёта мгновенных значений токов и напряжений рассмотрим два интервала времени процесса основной коммутации, следующих поочерёдно (последовательно) друг за другом:

- интервал коммутации в большом контуре, когда ток коммутации К замыкается по цепи: плечо VS7, секции 2-х1, 1-2 и а1-1, плечо VS1;

- интервал коммутации в малом контуре, когда ток коммутации К замыкается по цепи: плечо VS8, секции 2-х1 и 1-2, плечо VS4.

В соответствии со схемой замещения на рис. 1 произведем расчёт производной тока коммутации в рассмотренных выше контурах коммутации выпрямителя. Согласно второму закону Кирхгофа, в каждом контуре коммутации уравнение электри-

---X-О у

Рис. 1. Схема замещения ВИП в режиме выпрямителя с типовым алгоритмом управления

ческого равновесия будет выглядеть как

е =

и

к„

= X

ж,.

(1)

где е - ЭДС, наводимая в витках секции вторичной обмотки основным магнитным потоком трансформатора;

и1 - напряжение первичной обмотки трансформатора;

КТ - коэффициент трансформации трансформатора между первичной и секцией вторичной обмотки, находящейся в короткозамкнутом контуре коммутации;

ХК - эквивалентное индуктивное сопротивление обмоток трансформатора в процессе коммутации выпрямителя, приведённое к виткам одной или нескольких секций вторичной обмотки. Необходимо учесть, что на 4-й зоне Х2 = Х3 = Хсекц;

Х4 = 2Хсекц.

Для большого контура коммутации на 4-й зоне регулирования имеем

К

и.

Т 1(2+3+4)

е1 + е2 + е3

= 19,84,

Х = Х1

К(2+3+4) К 2

- + Х2 + Х3 + Х4 =

Т 1(2+3+4)

+ 4 Х =^

2 секц

X +1574,5Хс

секц

19,84

К1

е1 + е2 + е3

393,62

и

ХК (2+3+4) КТ1(2+3+4)ХК (2+3+4)

= 19,84и1

Х1 +15745ХсеЩ

(2)

Для малого контура коммутации имеем

К

Т 1(2+4)

е2 + е4

= 26,45.

Х

Х

К(2+4)

К2

ЛТ 1(2+4)

+ Х 2 + Х4 =

Х1 + 2098,8Х секц

699,6

26,45^

dшt X + 2098,8Х с

(3)

Определим выражения для ёг^ёш в двух контурах коммутации. Для этого рассмотрим систему уравнений, описывающих процессы коммутации в этих контурах

е3 =

d&t

К 3 :

е2 =

К 2 :

(4)

(5)

Vdшt d&t) ^ ( )

После соответствующих преобразований системы уравнений (4-6) получим в общем виде выражения для производных токов коммутации в контурах

I + 2 | х dшt dшt

ёгК1 + &К 2 | X

di

К1

dшt X

di

К3

X е - X е

К 2 К3 2 К2 3

dшt Хк 2 ХК 3

(7)

(8)

Для предлагаемого алгоритма управления ВИП в режиме выпрямителя на примере 4-й зоны регулирования схема замещения представлена на рис. 2. Контуры коммутации выпрямителя показа-

е

3

Современные технологии. Транспорт. Энергетика. Строительство. _Экономика и управление_

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

U,

VSQ\

Х

к ТД

VS2

Рис. 2. Схема замещения ВИП в режиме выпрямителя с предлагаемым алгоритмом управления

ны сплошными линиями.

Для анализа процессов и расчета мгновенных значений токов и напряжений рассмотрим четыре одновременно начинающихся процесса сетевой коммутации плеч ВИП, которые протекают параллельно друг другу:

- интервал коммутации в первом контуре, когда ток коммутации ix1 замыкается по цепи: плечо VS1, секция а 1-1-2, плечо VS5;

- интервал коммутации во втором малом контуре, когда ток коммутации к замыкается по цепи: VS5, секция 2-х1, плечо VS7;

- интервал коммутации в третьем малом контуре, когда ток коммутации ix3 замыкается по цепи: VS4, секция 1-2, плечо VS6;

- интервал коммутации в четвертом малом контуре, когда ток коммутации к замыкается по цепи: VS6, секция 2-х1, плечо VS8.

При предлагаемом алгоритме управления ВИП коммутация тока вентилей выпрямителя протекает одновременно в четырех короткоза-мкнутых контурах, для которых определим КТ и Хк согласно формуле (1):

- в первом, втором и четвертом контурах коммутации

K — K

T1(2+3) T1(4)

U1

e2 + e3

— U — 39,68.

— XK (4) — '

X, + 3149 X с

d-i k 1 di K

diK

d(at d(at d<$t

1574,5 39,68Ut X: + 3149 X секц

(9)

- в третьем контуре коммутации

KT 1(2) — U = 79,36, e

X +6298X

1 секц

XK (2) — "

diK

6298 79,36Uj

d&t

X! + 6298X секц

(10)

Чтобы детально изучить аварийные процессы, разработана математическая модель электровоза в среде Ма1ЬаЬ [5]. В качестве объекта моделирования был выбран электровоз переменного тока, аналогичный существующему электровозу ВЛ80Р, работающий в режиме тяги. Электровоз в модели рассмотрен как комплексная система, состоящая из нескольких подсистем, взаимодействующих между собой. Такими подсистемами являются электрическая часть электровоза, контактная сеть и система управления электровоза [6].

Для получения сравнительных электромагнитных процессов ВИП выполним его модель в редакторе 8тиПпк пакета Ма1;ЬаЬ (рис. 3).

В пакете Ма1;ЬаЬ тиристоры моделируются с учетом многих особенностей реальных приборов. Схема модели тиристора, в редакторе 8тиПпк, представленная на рис. 4, состоит из последовательно включенных резистора Ron, индуктивности Lon, источника постоянного напряжения Ц, ключа SW и демпфирующей ЯС-цепи.

Блок логики управляет работой ключа. Открытие тиристора осуществляется при приложении положительного напряжения (Uak- Ц) и наличии сигнала управления на управляющем электроде В модели также учитывается нелинейность вольт-амперной характеристики тиристора. Все эти параметры позволяют получить процессы, протекающие в преобразователе, достаточно близкие к реальным.

ИРКУТСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ПУТЕЙ СООБЩЕНИЯ

л_

С УЬ1 I

У52

-Л-

Е |_£/

*1 1

У52@

л. е 1_^

С \ 54

л а

\'57

-Д_Й.

<3>

д)

л

О ¥38 8

Рис. 3. Блок схема ВИП в редакторе 81ши1шк

\

>

я а

о

н

о и о X

х

О)

ч

К

а. с 2

еа

о

я

/

Я Ш Ьоп ,

Рис. 4. Схема модели тиристора в библиотеке 81шиНпк

Результаты моделирования пропуска импульса управления восьмого плеча ВИП на четвертой зоне регулирования, при типовом алгоритме управления ВИП

По результатам моделирования переходных процессов ВИП электровоза при пропуске импульса управления с фазой а0 на плечо У88 электромагнитные процессы напряжения и выпрямленного тока плеч ВИП представлены на рис. 5.

Из рисунка можно сделать вывод, что половину полупериода происходит рост реактивной энергии, что резко снижает энергетические показатели электровоза. Выпрямленный ток двигателя с 1000 А падает приблизительно до 150 А, образовывая значительные броски тока. Второй полупериод напряжения является нерегулируемым, к тяговым двигателям всегда прикладывается полное напряжение четвёртой зоны.

Результаты моделирования пропуска импульса управления на восьмое плечо ВИП на четвертой зоне регулирования, при предлагае-

мом способе управления

По результатам моделирования переходных процессов ВИП электровоза при пропуске импульса управления с фазой а0 на плечо У88 электромагнитные процессы напряжения и выпрямленного тока плеч ВИП представлены на рис. 6.

Предлагаемый способ управления при пропуске импульсов управления на восьмое аварийное плечо ВИП на четвёртой зоне регулирования образует их резервирование за счёт одновременного открытия параллельных плеч ВИП. Выпрямленное напряжение и ток двигателей электровоза всегда остаётся регулируемым и зависит от положения штурвала машиниста электровоза.

Выводы

1. Пропуск импульса управления при типовом управлении восьмого тиристорного плеча образует значительный рост реактивной энергии. Выпрямленный ток двигателя образует значительные броски тока.

2. Пропуск импульса управления при типовом управлении восьмого тиристорного плеча образует нерегулируемый выпрямленный ток двигателя электровоза, так как в первый полупериод при пропуске импульса управления с фазой б0 выпрямленное напряжение равно нулю, а во второй полупериод к тяговым двигателям всегда прикладывается полное напряжение четвёртой зоны.

3. Предлагаемый способ управления при пропуске импульсов управления на восьмое аварийное плечо ВИП на четвёртой зоне регулирования образует их резервирование за счёт одновременного открытия параллельных плеч ВИП. Выпрямленное напряжение и ток двигателей электровоза всегда остаётся регулируемым и зависит от положения штурвала машиниста электровоза.

Современные технологии. Транспорт. Энергетика. Строительство. Экономика и управление

200-

10 15 20

Время, мс

Рис. 5. Диаграммы электромагнитных процессов на 4-й зоне регулирования, полученные при моделировании в аварийном режиме тяги при пропуске импульса управления

8-го плеча ВИП в модели

; 820

-410

л

л

• У

к у ч

/ \

о* V

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

/

11 / \

/ ) \

) \

(

--- \

/ \

/ \

1 \

/ >

о

15

20

5 10

Время, мс

Рис. 6. Диаграммы электромагнитных процессов на 4-й зоне регулирования, полученные при моделировании в аварийном режиме тяги при пропуске импульса управления 8-го плеча ВИП

БИБЛИОГРАФИЧЕСКИМ СПИСОК

1. Капустин Л. Д. Обеспечение надёжности системы управления электроподвижного состава с тиристорными преобразователями / Вестник ВНИИЖТ, 1975, №2, с. 5-9.

2. Капустин, Л.Д. Надежность и эффективность электровозов ВЛ80Р в эксплуатации / Л.Д. Капустин, А.С. Копанев, А.Л. Лозановский. Под ред. Л.Д. Капустина - М.: Транспорт, 1986. -240 с.

3. Патент № 2322749 Российская Федерация, МПК Н02М 5/42, Б60Ь 9/12, 2006г.; Опубл. 20.04.2008; Бюл. № 11. Способ управления многозонным выпрямителем однофазного переменного тока / авторы Власьевский С.В., Ба-бичук А.К., Мельниченко О.В.

4. Патент № 54704 от 10.01.2006 г. Российская Федерация, МПК Н 02 М 5/12; Опубл. 10.07.2006 Бюл. №19. Многозонный выпрямитель однофазно переменного тока / авторы Вла-сьевский С.В., Бабичук А.К., Мельниченко О.В.

5. Герман-Галкин С.Г. Электрические машины [Текст]: Лабораторные работы на ПК / С.Г. Герман-Галкин, Г.А. Кардонов. - СПб.: КОРОНА принт, 2003. - 256 с.: ил.

6. Алексеев, А.С. Система автоматического регулирования тока коллекторных тяговых двигателей электровоза [Текст]: Диссертация на соискание ученой степени кандидата технических наук: 05.09.03 / А С. Алексеев. - Москва., 2009. - 302 с.

i Надоели баннеры? Вы всегда можете отключить рекламу.