Научная статья на тему 'Low dielectric constant materials in microand nanoelectronics'

Low dielectric constant materials in microand nanoelectronics Текст научной статьи по специальности «Химические науки»

CC BY
276
90
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
МИКРОЭЛЕКТРОНИКА / ТЕХНОЛОГИЯ МЕЖКОНТАКТНЫХ СОЕДИНЕНИЙ / МАТЕРИАЛЫ С НИЗКОЙ ДИЭЛЕКТРИЧЕСКОЙ ПРОНИЦАЕМОСТЬЮ / ПОРИСТОСТЬ / MICROELECTRONICS / INTERCONNECT TECHNOLOGY / LOW-K MATERIALS / POROSITY

Аннотация научной статьи по химическим наукам, автор научной работы — Arkhincheev Valeriy Yefimovich, Baklanov Mikhail Rodionovich

The discovery of transistor is one of the most outstanding events in technical revolution in the 20th century. An integrated circuit (IC, chip, microchip) is a miniaturized electronic circuit consisting mainly of semiconductor devices, as well as passive components. Integrated circuits are fabricated on the surface of a semiconductor material and are used in almost all electronic equipment in use today. Computers, cellular phones, and other digital appliances are now inextricable parts of the structure of modern societies, made possible by the production of integrated circuits. Increase of complexity of integrated circuits required introduction of new materials. These are not only new types of semiconducting materials but also different types of conductive and isolating materials. Copper recently replaced traditional Al, presently carbon nanotubes and grapheme are considered as potential candidates. Different types of dielectric materials are used for the gate application (high-k materials) and for interconnects in integrated circuits (low-k). This paper givesa short historical overview and more detailed discussions of properties of low-k materials developed and studied during the last decade.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Low dielectric constant materials in microand nanoelectronics»

УДК 621.3.049.77 ББК 3 844.1

В. Е. Архинчеев

г. Улан-Удэ, Россия М. Р. Бакланов

г. Левен, Бельгия

Материалы с низкой диэлектрической проницаемостью в микро- и наноэлектронике

Открытие транзистора является одним из самых выдающихся событий в научнотехнической революции XX века. Следующим важнейшим шагом был переход к интегральным схемам. Интегральная схема ( ИС) представляет собой миниатюрную электронную схему, состоящую в основном из полупроводниковых транзисторов, диодов, а также пассивных компонент. Интегральные схемы формируются на поверхности полупроводникового материала и используются во всех современных электронных приборах, используемых сегодня. Создание компьютеров, сотовых телефонов и других электронных гаджетов, ставших необходимыми предметами в современном обществе, стало возможным благодаря повсеместному внедрению интегральных схем. Возросшая сложность интегральных схем, содержащие до 109 элементов/см2, требует разработки и внедрения современных материалов. Это не только новые типы полупроводниковых материалов, но также новые виды проводящих и изолирующих материалов. Недавно традиционные алюминиевые контактные соединения были заменены на медные; в настоящее время карбоновые нанотрубки и графен также рассматриваются как возможные кандидаты. Различные типы изолирующих материалов используются для изоляции входов в транзисторах (high-k материалы) и для межконтактных соединений в интегральных схемах (low-k). Настоящая обзорная статья дает краткий исторический обзор и более детальное обсуждение свойств материалов с низкой диэлектрической проницаемостью, которые были получены и исследованы в последние деясть лет.

Ключевые слова: микроэлектроника, технология межконтактных соединений, материалы с низкой диэлектрической проницаемостью, пористость.

V. Ye. Arkhincheev

Ulan-Ude, Russia M. R. Baklanov

Leuven, Belgium

Low Dielectric Constant Materials in Micro- and Nanoelectronics

The discovery of transistor is one of the most outstanding events in technical revolution in the 20th century. An integrated circuit (IC, chip, microchip) is a miniaturized electronic circuit consisting mainly of semiconductor devices, as well as passive components. Integrated circuits are fabricated on the surface of a semiconductor material and are used in almost all electronic equipment in use today. Computers, cellular phones, and other digital appliances are now inextricable parts of the structure of modern societies, made possible by the production of integrated circuits. Increase of complexity of integrated circuits required introduction of new materials. These are not only new types of semiconducting materials but also different types of conductive and isolating materials. Copper recently replaced traditional Al, presently carbon nanotubes and grapheme are considered as potential candidates. Different types of dielectric materials are used for the gate application (high-k materials) and for interconnects in integrated circuits (low-k). This paper givesa short historical overview and more detailed discussions of properties of low-k materials developed and studied during the last decade.

Keywords: microelectronics, interconnect technology, low-k materials, porosity.

© Архинчеев В. А., Бакланов М. Р., 2011

19

Introduction

1. 1. Historical overview

The discovery of transistor represents one of the most outstanding milestones in the history of physics development in the 20th century. Leveraged by this discovery for the past half century, we entered into the micro- and nanoelectronics era which brought great changes to peoples living style and social productivity.

As earlier as in the beginning of last century, people learned the rules of electron’s motion in vacuum and discovered vacuum triode (vacuum tube) and opened a new area of electronic technology. However, vacuum triode has a very low utilization efficiency rather than an ideal object due to its overheated filament and short lifecycle especially when it is used for processing High Frequency signals; therefore, scientists have never stop looking for a new material to make up the defects of vacuum tube. When a group of scientists from Bell Telephone Laboratories of AT&T Corporation were looking for a better demodulation material, they found that Ge crystal material with very few inclusion of foreign substance had a better rectification performance than electronic tube.

Fortunately, some scientists made progress during this period in their theoretical research on Ge and Si, which gave further conditions for the discovery of transistor. In the autumn of 1945, USA Bell Telephone Laboratories established a semiconductor research group under the leadership of William Bradford Shockley who jointed Bell Telephone Laboratories in 1936 with participation of other scientists including John Bardeen and Walter Brattain. In 1946, Bell Semiconductor Group made its decision to concentrate on two kinds of the simplest semi conducting material germanium and silicon; based on repeated experiments, John Bardeen and Walter Brattain made a solid unit. They used two whisker contacts which were the closest to each other with a space of 0.05 mm to replace gold foil contacts and fabricated contact type transistor. This experiment found that transistor was able to amplify electric current. In 1947, the world first applied transistor came into birth. In the first experiment, transistor was able to amplify signal 100 times, and the amplified signal had an appearance bigger and shorter than a match stick.

This invention was officially patented in USA on June 17, 1948 and declared in Bell Telephone Laboratories’ Meeting Hall on July 22 to the scientists who were working there. On July 25, John Bardeen and Walter Brattain drafted two letters to the editors of "Physics Journal": "Transistor, a kind of semiconducting triode"and "The nature of forward current in germanium contact"; soon after, William Bradford Shockley and G. L. Pearson also published their papers. The discovery was one of most significant inventions in the 20th century. It is the first signs of micro-electronic revolution and the irreplaceable impetus to drive the further development of electronic computer. Also for this remarkable invention, John Bardeen, Walter Houser Brattain and William Bradford Shockley shared the Nobel Prize in Physics in 1956.

The transistor is a three terminal, solid state electronic device. In a three terminal device we can control electric current or voltage between two of the terminals by applying an electric current or voltage to the third terminal. This three terminal character of the transistor is what allows us to make an amplifier for electrical signals, like the one in our radio. With the three-terminal transistor we can also make an electric switch, which can be controlled by another electrical switch. By cascading these switches (switches that control switches that control switches, etc.) we can build up very complicated logic circuits.

For many years, transistors were made as individual electronic components and were connected to other electronic components (resistors, capacitors, inductors, diodes, etc.) on boards to make an electronic circuit. They were much smaller than vacuum tubes and consumed much less power. Electronic circuits could be made more complex, with more transistors switching faster than tubes. However, it did not take long before the limits of this circuit construction technique were reached. Circuits based on individual transistors became too large and too difficult to assemble. There were simply too many electronic components to deal with. The transistor circuits were faster than vacuum tube circuits, and there were noticeable problems due to time delays for electric signals to propagate a long distance in these large circuits. To make the circuits even faster, one needed to pack the transistors closer and closer together.

In 1958 and 1959, Jack Kilby at Texas Instruments and Robert Noyce at Fairchild Camera, came up with a solution to the problem of large numbers of components, and the integrated circuit was developed. Instead of making transistors one-by-one, several transistors could be made at the same time, on the same piece of semiconductor. Not only transistors, but other electric components such as resistors, capacitors

and diodes could be made by the same process with the same materials. The Nobel Prize in Physics 2000 was awarded to Jack Kilby for the invention of the integrated circuit.

For more than 40 years, since the 1960’s, the number of transistors per unit area of IC chip has been doubling every 1.5 years. This fantastic progression of circuit fabrication is known as Moore’s law, after Gordon Moore, one of the early integrated circuit pioneers and founders of Intel Corporation.

Figure 1.. First Ge transistor (Bell Lab 1947) and first integrated circuit (Texas Instrument, 1958)

Figure 2. MOS Integrated circuit (1966) and Integrated circuit of Atmel Diopsis 740 (2009)

1. 2. Dielectric materials in ULSI devices

The first Bipolar Junction Transistors were made from germanium (Ge). Different semiconductor materials differ in their properties. Thus, in comparison with silicon, compound semiconductors have both advantages and disadvantages. For example, gallium arsenide (GaAs) has six times higher electron mobility than silicon, which allows faster operation; wider band gap, which allows operation of power devices at higher temperatures, and gives lower thermal noise to low power devices at room temperature; its direct band gap gives it more favorable optoelectronic properties than the indirect band gap of silicon; it can be alloyed to ternary and quaternary compositions, with adjustable band gap width, allowing light emission at chosen wavelengths, and allowing e.g. matching to wavelengths with lowest losses in optical fibers. GaAs can be also grown in a semiinsulating form, which is suitable as a lattice-matching insulating substrate for GaAs devices. Conversely, silicon is robust, cheap, and easy to process, whereas GaAs is brittle and expensive, and insulation layers can not be created by just growing an oxide layer; GaAs is therefore used only where silicon is not sufficient. Silicon (Si) types currently predominate but certain advanced microwave and high performance versions now employ the compound semiconductor material gallium arsenide (GaAs) and the semiconductor alloy silicon germanium (SiGe).

Why Si became a winner among of different semiconductors? The most important feature of Silicon is it’s own oxide SiO2, which can be formed just by thermal oxidation. SiO2 has low defect density, and provides a thermodynamically stable interface with Si. SiO2 is characterized by a high resistivity, excellent dielectric strength, and a large bandgap. These properties are reasons why Silica films prepared by thermal oxidation and deposition from gas phase have been successfully used for both gate and interconnect applications in ultra-large-scale integration (ULSI) devices.

However, in a continuous drive to increase integrated circuit performance through shrinkage of the circuit elements, the dimensions of IC devices have been scaled according to Moore’s law. Starting from a certain feature size, this results in opposing requirements for the properties of gate and interlayer (ILD) dielectric films. Dielectric films for gate applications need to have higher dielectric constant, while interconnect dielectric materials need to have lower dielectric constant, compared with SiO2.

Gate dielectrics. In order to maintain the high drive current and gate capacitance required of scaled FET (Field-effect Transitor), SiO2 gate dielectrics have decreased in thickness from hundreds of nanometers 40 years ago to less than 2 nm today, with a continued effort to shrink to a thickness below

1 nm. However, SiO2 layers thinner than 1.2 nm do not have the insulating properties required of a gate dielectric. The use of ultrathin SiO2 gate dielectrics gives rise to a number of problems, including high gate leakage current, reduced drive current, poor resistance to impurity diffusion, and reliability degradation. Therefore, alternative gate dielectric materials, with small ’equivalent oxide thickness’ (EOT) are required. Equivalent oxide thickness, tox(eq), is the thickness of the SiO2 layer (k = 3.9) having the same capacitance as a given physical thickness of an alternative dielectric layer, :

tox (eq) = tdiel (3.9/kdiel) .

Therefore, to obtain a small EOT while maintaining the bulk properties of the dielectric material, the kdiei should be significantly higher than the value typical for SiO2. Dielectrics with k values approximately between 10 and 30 are under consideration. Several new materials such as HfO2, ZrO2, and Al2O3 are investigated for introduction as high-k dielectrics.

Dielectrics for Interconnects. In the case of dielectric materials for interconnect applications, the requirement is the opposite. Modern ULSI devices contain 108 — 109 transistors in an area smaller than 1cm2, and operate at a clock frequency approaching several gigahertz.

As device dimension shrink, the switching speed of its basic element increases, since the carriers transit time across the length of transistor channel is decreasing (figure 3).

0--------- -------- ---------I ....... .

650 500 350 250 180 130 100

Generation (nm)

Figure 3. Gate and interconnect delay versus technology generation (Adopted from the International Technology Roadmap for Semiconductors 1999)

However, the basic elements must be interconnected by a proper way to provide functionality of ULSI device. As the functional complexity of devices increase, the number of interconnection levels, and total metal interconnect length, continue to increase to the extent that an advanced ULSI device may consist of 8-10 levels of metal lines (Figure 4). For this reason, the effective speed of the device becomes ever more dominated by signal propagation through the horizontal and vertical metal interconnects. It is here that the resistance R and capacitance C characteristics of the interconnect materials become important. In fact, the rapid increase in RC delay time is one of the main bottlenecks for deep sub-micrometer devices. The RC delay is given by:

RC = 2rke0 (4L2/P2 + L2/T2)

where r is the metal resistivity, e0 is the vacuum permittivity, k is the relative dielectric constant of the interlayer dielectric, P is the metal line pitch (sum of line width and line spacing), T is the metal thickness, and L is the metal line length. This equation shows that the RC delay can be reduced using metals with low resistivity and dielectric materials with low dielectric constant.

Figure 4- Advanced Metallization (schematic, left) and SEM picture

The introduction of Cu and low dielectric constant (low-k) materials improves the situation compared with conventional Al/SiO2 technology by reducing both the resistivity and capacitance between wires. The impact of RC delay is increasing as we move into the nanometer regime. Further reduction of the signal delay, through introduction of low-k dielectrics, is one of the main challenges today.

The introduction of Cu and low dielectric constant (low-k) dielectrics has improved the situation as compared to the conventional Al/SiO2 technology by reducing both resistivity of and capacitance between wires. Cu is becoming the common metallization material, lowering signal delay by introducing low-k dielectrics is one of the main challenges today.

A description of all the problems related to introduction of Cu and low-k dielectrics is beyond the scope of this paper and we are going to concentrate to approaches allowing decrease the dielectric constant of ILD.

2. Low-k dielectrics: introductory remarks

Dielectric constant of materials is described by Clausius -Mossotti equation

(k -1)

(k-

4п

s = —No. 2) 3

(2)

where k = e/e0, e and e0 are dielectric constants of the material and vacuum, N is the number of molecules per unit volume (density) and a is the total polarisability including electronic (ae) distortion (ad) and ionic (a,) polarisabilities. According to equation (2), dielectric constant of materials can be reduced by decreasing of total polarisability and density. Early generations of low-k dielectrics were obtained by doping of traditional SiO2 dielectric by fluorine and carbon during the Chemical Vapor Deposition (CVD). Fluorine substitution lowers k value by decreasing the polarisability and increasing the free volume. These kind of dielectrics typically have the k-value in the range of 3-3.5. Other types of low-k dielectrics are based on organic polymers (Plawsky et al. 2003). Saturated hydrocarbons have a lower polarizability than unsaturated, conjugated and aromatic hydrocarbons. Therefore, they might provide the lowest k-value without the porosity introduction. However, aliphatic C-C, C-H, and C-N bonds generally become unstable at T 300 — 400 °C and in some cases at even lower temperatures. Only materials composed of non-aliphatic C-C, C-O, C-N, and C-S bonds, aromatic structures, and cross-linked or ladder structures can withstand the temperatures necessary for interconnect technology (450 — 500 °C). Most of the organic low-k films with sufficient thermal stability have dielectric constants close to 2.6-2.8. Decreasing the density provides further reduction of dielectric constant. Therefore, ultra low-k dielectrics with k < 2.6 must be porous. The relative dielectric constant of porous materials kr directly depends on porosity

kr 1 _ p (fci і (і p) ^’s ^

(ki + 2)

(ks + 2)

(3)

where ki is dielectric constant of material inside the pores, ks is dielectric constant of the film skeleton. If pores are empty (air, vacuum), the first term is equal to 0 and

fcr_1 =(1-P). (4)

kr+2 y } (ka + 2) y f

This equation shows that the effective dielectric constant depends on porosity and dielectric constant of the film skeleton. Materials with relatively small ks values allow succeed smaller kr value at relatively low porosity in comparison with materials with larger ks values (figure 3). Highly porous materials meet numerous problems for integration and these problems will be discussed in the following paragraphs.

We will follow the IUPAC nomenclature in defining materials constitutively porous if their structure mainly depends on the original, as-deposited, arrangement (Rouquerol et al. 1994). Subtractive porous materials are those for which certain parts of the original structure are selectively removed to create pores, for instance, by thermal desorption of "porogen". Porosity is defined asp = Vp/V, where Vp is pore volume and V is total volume of the film. Pores are defined to be ’open’ if they are accessible by the probe. Pores with a size smaller than the probe diameter are inaccessible and therefore by definition "closed". IUPAC classification divides porous materials as follows: microporous with size <2 nm, mesoporous of 2-50 nm and macroporous > 50 nm.

3. Deposition of low-k dielectric films

3. 1. Matrix materials

Different types of matrix materials are used for preparation of porous low-k films. The most important ones at the present time are inorganic silica based materials (Silica xerogel, aerogels), hydrid silsesquioxanes (SSQ) and organic polymers (figure 4). Advantage of silica and SSQ based materials is their chemical properties similar to traditional SiO2 ILD that makes possible to use traditional technology and chemistries during the integration. Most of organic polymers have minimal frequency dispersion and their relatively low ks value allow succeed low dielectric constant at relatively low porosity. However, they meet more problems in integration.

The principal difference between silica-based and silsesquioxane (SSQ)-based materials is the structure of their elementary units. Pure silica has a tetrahedral elementary unit (figure 5a). To reduce the k value and make them hydrophobic, some oxygen atoms are replaced with F, CHx with x = 0 ^ 3. The addition of CHx not only introduces less polar bonds, but also creates additional free volume. Such silicon oxycarbides (SiOCH) are normally deposited by CVD and they are constitutively porous. The carbon concentration in the most of SiOCH materials is varied between 10 and 30 %. The carbon concentration must be large enough to provide their hydrophobic properties but small enough to keep good enough mechanical properties.

In the SSQ materials, Si and O atoms are arranged in a form of cage or ladder (figure 5b). The cage structure creates free volume, decreasing the material’s density and, therefore, its k value. The cage can include eight silicon atoms (cubic like T8), twelve (T12) or even more. The cages in polymerized SSQ are connected to each other through oxygen or -CH2- groups, while other cage corners are terminated by hydrogen (HSQ), methyl (MSQ), phenyl (PSQ) or other aliphatic groups. MSQ matrix materials have a lower dielectric constant as compared to HSQ because of the larger size of the CH3 group (« 2.8and « 3.0 — 3.2 respectively) and lower polarizability of the Si-CH3 bond as compared to Si-H. SSQ cages are metastable and tend to break down to silica tetrahedral, especially during the curing at elevated temperature. As a result, SSQ-based materials realistically represent a mixture of SSQ cages and silica tetrahedral. Because of low temperature stability of the cage, SSQ based materials are prepared only by SOG technology while SiCOH materials are deposited by CVD. The skeleton dielectric constant of both CVD SiCOH and SOG SSQ materials are defined by polarisability of Si-O bonds, free volume that depends on CHx concentration. However, deposition of ultra low-k materials need additional introduction of artificial porosity in both SOG and CVD technologies.

3.2. Deposition of porous materials by spin-on technology

In the spin-on deposition, the film coating is performed by dispensing a liquid precursor at the center of the substrate, which is placed on a spinner. Rotation of the substrate creates centrifugal forces

that ensure a uniform distribution of material on the surface. The thickness of the coating is a result of balance between centrifugal forces (dependent on the rotation speed) and viscous forces, determined by the viscosity of the solution. Normally, the spinning step is followed by heating or "bake"at temperatures typically below 250 °C, for removal of the solvents. The latter step can also initiate cross-linking of the film. Finally, a sintering at temperatures varying from 350 °C to 600 °C ("cure") is required to obtain a stable film. This "cure"step induces the final cross-linking of the polymer chains and results in a mechanically stable film structure.

Numerous methods of introducing subtractive porosity into spin-on deposited materials exist, but they can be divided into two main categories. The first category groups all materials where the porosity is introduced exclusively through Sol-Gel processes, while the second group includes the materials where the porosity is formed through the use of sacrificial particles (porogens) that are desorbed during film cure.

a. Subtractive porosity by sol-gel based techniques

There exist two main approaches based on Sol-Gel techniques to the formation of subtractive porosity: the first takes advantage of aging processes and the second relies on a hierarchical organization of the primary particles in the sol (self-assembly).

The formation of a more or less rigid skeleton structure before extraction of the liquid from a wet gel is a key point in the formation of high porosity materials. Even if the gel-point is reached after material spinning, a long time is still required before the hydrolysis and condensation reactions are complete. For this purpose, an additional step (aging) before drying the wet gel is introduced. The aim of this step is to accelerate the sol-gel reactions, typically by relying on the pH and the water content in the ambient. Once the network structure is strengthened, extraction of the solvent can take place without collapse of the network backbone. The level of residual porosity is generally tuned through the ratio of solvent to solid content in the sol.

For subtractive dielectric films based on aging techniques, there is a clear trend of increasing total porosity leading to larger pore sizes (figure ). The control of pore size distribution (PSD) is key in processing porous materials.

In sol-gel science numerous studies have been performed in the synthesis of self-assembled microporous materials. Hierarchical ordering of the aggregates by preferential solvent evaporation during spin coating is reported for a solution of surfactants, swelling agent and soluble silica. By this method, ordered materials with dielectric constant as low as 1.3 have been synthesized. In this case, the final film porosity and pore structure is related to the way in which the primary particles are assembled and ordered.

b. Subtractive porosity by macromolecular porogens

This technique is based on the addition in the dielectric precursor of molecular or supramolecular particles (’porogens’) with tailored thermal stability. The stability of these particles is such that they are not affected by the drying step, and they are removed by pyrolysis during final film sintering or cure (typically in the range from 300 to 400 °C. Their volume distribution in the film at the moment of desorption represents the template for the residual pores in the layer. In the ideal case, the film’s final porous fraction is directly related to the amount of porogen as a function of the total solid part in the precursor solution, and the size of the sacrificial particles is directly related to the final pore size (figure 4). There are some requirements in order to maintain the relationship between sacrificial particles

- pores and porosity. Firstly, the sacrificial material should be chemically compatible with the network (or matrix) material, in order to avoid phase separation. Secondly, the sacrificial particles should be uniformly distributed throughout the film volume, in order to avoid coalescence of pores. There are two ways in which the sacrificial porogens are brought into the precursor solution. One method is dispersion of porogens in the solution. The second is chemically linking sacrificial particles (grafted) to the network polymers. This second method grants an inherent control of the volume distribution of porogens in the dielectric film. One advantage of the nanoparticle template approach is that the film has a higher degree of cross-linking when the pores are created. The porous structure is, therefore, less affected by further densification in comparison with sol-gel based pore formation.

3.3. Chemical vapor deposition (CVD)

The semiconductor industry has long relied on insulating films of SiO2 deposited from gas phase by silane (SiH4) oxidation. Therefore, most of the attempts at producing low-k materials by different versions of CVD have been with doped versions of SiO2. The main dopants used so far are fluorine and carbon in the form of alkyl groups. Their introduction is done by replacing standard silane by fluoro- and

alkylsilanes like Si2H2F2 and (CH3)XSiHy with (x+y)=4. Doping a film with alkyl groups terminates some of the silicon bonds within the oxide lattice and lowers the electronic polarizability of the film. The relatively large molecular volume of the alkyl groups decreases the film density. Moreover, lower thermal stability of some Si-F and Si-alkyl groups allows for the generation of additional porosity by their removal during the deposition or post-deposition annealing of the film. Generally, as deposited SiOCH films have a porosity of about 5-15 % with a pore size of about 1-2 nm.

Recently, various techniques have been employed to produce CVD SiOCH films with subtractive porosity and k value below 2.6. One method utilizes a multiphase deposition. The SiOCH precursor tetramethylcyclotetrasiloxane (TMCTS) is mixed with a thermally unstable CHx phase during deposition. This unstable phase is thermally decomposed and removed from the film during subsequent anneal ( 4h at 400 °C) leaving behind pores. The resulting porosity depends on the CHx/TMCTS ratio and can be as high as 30-40 % for a 1/2 ratio. The mean pore size is about 2 nm and plots the obtained dielectric constant as a function of CHx/TMCTS ratio (Grill 2003, Grill et al. 2003).

4. Pore structure of low-k dielectric films

The most important properties of the low-k dielectrics are related to the pore structure. Although there are many established methods for determining the pore size of porous media, most of traditional "porosimetries"are hardly applicable to thin films because of small total pore volume and small surface area.

Recently, several non-destructive methods have been successfully applied to the pore size determination and PSD of thin porous films. These are intrusive methods like ellipsometric and X-Ray porosimetries (EP and XRP), and Neutron Scattering Contrast Matching and non-intrusive Small-angle Neutron and X-ray scattering spectroscopy (SANS and SAXS) combined with specular X-ray reflectivity (SXR) and Positronium Annihilation Lifetime Spectroscopy (PALS). These new techniques are based on different physico-chemical principles, but few systematic studies showed a good agreement (Kondoh et al. 2001, Grill et al. 2003).

4.1. Non-intrusive techniques

In PALS and PAS, films are irradiated with a focused beam of several keV positrons. Positrons have a limited lifetime and can, by interaction with an electron, either annihilate or form a positronium (Ps)

- the electron-positron bound state. PALS measures the Ps lifetime, whereas PAS extracts information from the Ps annihilation reaction (Gidley et al. 2000). Ps can exist in ortho- and para- spin states. Orthopositronium (o-Ps) is more stable and localizes in the void volume where the o-Ps binding energy is not reduced by the dielectric constant of the surrounding material. With an initial kinetic energy of a few eV it will begin to diffuse and thermalize. Its natural lifetime of 142 ns (in vacuum) is reduced by annihilation with molecular electrons in the pore walls with an opposite spin, yielding information about pore size. If all pores are open and interconnected, Ps can escape to vacuum, existing for its natural lifetime, and information related to pore size cannot be obtained. In this case one needs to deposit a capping layer to measure the pore size.

Depending on the annihilation reaction in PAS 27 or 3y photons are generated. The film porosity can be calculated from a comparison of the measured 27 and 3y photon annihilation ratio of Ps atoms (3y PAS). This is based on the fact that self-annihilation of o-Ps requires at least 3y photons to convert total momentum (which is related to 142 ns in vacuum) while an annihilation with a molecular electron from the pore wall ("pickoff "annihilation) results in the emission of 2y photons. The probability of the latter depends on the collision frequency with pore walls which affects the o-Ps lifetime,. The rate of "pickoffannihilation influences the ratio of the 2y and 3y annihilation intensity and can therefore be used to derive porosity properties (Petkov et al. 2001).

Scattering techniques (SANS, SAXS). Small angle radiation scattering arises from variations in the scattering length density occurring over distances exceeding the interatomic spacing in solids. Such variations occur when a solid material contains pores and details of the porosity can be obtained from measurements of the angular distribution of scattered intensity. The scattered intensity is measured as a function of angle, 20 , to the incident direction. The pore size is given as d X/29 where A is the wavelength of the scattered radiation (Wu et al. 2000, Huang et al. 2002). When the angular distribution of scattered intensity is available, the pore size distribution can be obtained using a variety of fitting models.

Scattering techniques are normally used in combination with Specular X-ray reflectivity (SXR) that allows the measurement of the film density i.e. total porosity as

P = 1 — p/ps (5)

where p and ps are film and skeleton densities respectively. This necessitates the assumption that the skeleton is identical to the dense, non-porous prototype.

4.2. Intrusive techniques (EP, XRP and Neutron Scattering Contrast Matching)

These 3 methods are based on condensation of an organic adsorptive inside the pores. First two methods are adsorption porosimetries similar to widely used for the characterization of porous solids.

The traditional nitrogen porosimetry used direct weighing by microbalance techniques is almost not applicable for the evaluation of thin films. Special techniques allowing determination of adsorbate amount in porous films are based in measurement of change of refractive index (EP) and mass of condensed adsorptive (XRP).

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

XRP measurements allow detect change of the adsorbent mass that makes it similar to traditional microbalance technique (Lee 2003). Porosity is calculated using equation (5). In EP, the film porosity is calculated from the change of refractive index happening due to the pore filling by condensed adsorptive using equation (Baklanov et al. 2000):

nr -1 = pnlds - 1 , n _ P) nl~l nlds + 2 1 n2 + 2

where nr, nads and ns are measured refractive indices of the porous film, refractive index of material

inside the pores and refractive index of the film skeleton, respectively. This equation is similar to (1)

1/2

because nr = er' at the same frequency range. When pores are empty, nads = 1 and the equation (4) allow calculate full porosity. nr increases during the adsorption because nads changes from 1 to refractive index of liquid adsorptive. This change allow calculate volume of condensed liquid that correlates with open porosity.

Different approaches are used for PSD calculation in mesoporous and microporous films. The pore size calculation in mesoporous films relies on the phenomenon of progressive emptying of a porous system, initially filled at equilibrium pressure, P = P0. The calculations are based on analysis of a hysteresis loop that appears as a result of the difference in effective radius of curvature of a condensed liquid meniscus during adsorption and desorption. The adsorptive vapor condenses in pores at a vapor pressure P below the equilibrium pressure of a flat liquid surface P0. The dependence of the relative pressure P/P0 on the meniscus curvature is described by the Kelvin equation:

\Po) rK ■ RT K >

where y and VL are surface tension and molar volume of the liquid adsorptive, respectively. f equal to unity for slit-shaped pores and f = 2 for cylindrical pores. The pore radius, rp, is related to the Kelvin radius as rp = rk + t and t is the thickness of the layer adsorbed on the pore walls. Values of t are obtained from the adsorption of the same adsorbtive on a non-porous sample and are defined by the BET equation (Gregg & Sing 1982).

In micropores of the order of a few molecular diameters, the Kelvin equation is no longer valid. The simplest method to determine the microporous volume is the t-method of de Boer and its extension. Thermodynamic methods based on the change of the adsorption potential in micropores are presently used in EP (Baklanov & Mogilnikov 2002, Dultsev 2004). Small-angle neutron scattering contrast variation can be applied to characterization of porous low-k films. Films are exposed to saturated solvent vapor in air, whereby the pores fill with liquid by capillary condensation. The pores are filled with mixtures of hydrogen- and deuterium-containing solvents to vary the neutron contrast with the matrix (wall). The composition of the solvent mixture is systematically varied to identify a composition that minimizes the scattered intensity (contrast match point). From the contrast match point composition, film characteristics including matrix density and homogeneity are assessed (Hedden 2004).

4.5. Pore structure of low-k materials

Figure 5a shows EP data for a typical mesoporous HSQ film. The adsorption/desorption isotherms produce a well-pronounced hysteresis loop. The saturation points for the different adsorbates are very

close to one another and correspond to an open porosity of 48 %. Comparison of this value with the total porosity measured by spectroscopic ellipsometry leads to the conclusion that all pores in this film are interconnected. This graph also demonstrates that the choice of an appropriate adsorbate can shift the isotherm to a different P/P0 range because of different molecular characteristics. A perfect agreement of the PSD calculated from the adsorption of different adsorbates is obtained. The data are also in good agreement with those obtained by standard N2 adsorption porosimetry.

Figure 5b shows the adsorption/desorption isotherm and pore size distribution obtained for a MSQ based film. This film shows a bi-modal porosity (both meso- and micropores are present in the film). The micropores appear to be an intrinsic property of the MSQ based material (constitutive porosity) and are related to the replacement of hydrogen by the larger methyl group. Adsorption in micropores is responsible for the additional slope in the isotherm in the low-pressure region (P/P0 < 0.1). Figure 5c shows EP results for a C doped silica film. C doped silica films are typically microporous and the DR method is used for pore size calculation. However, attempts to increase porosity of CVD films normally lead to some increase of the pore size (Grill et al. 2003).

Figure 6 shows results of EP analysis of organic low-k polymers (SiLK) prepared by Dow Chemical Company. Version 9 of porous SiLK (V9) contained embedded voids with size of about 7-8 nm connected with air through small necks. The same void size has also been obtained by PALS and SAXS (Gidley 2003, Huang 2003). A new product of Dow Chemical - porous polymer SiLK Y has extremely small pore size at the same porosity as SiLK V9. This makes SiLK Y more promising and attractive for integration. In EP, presence of necks and embedded voids and their size is evaluated by using concept of "pore blocking effect"that allow calculate the neck and void size from comparison of adsorption and desorption isotherms (Baklanov et al. 2004). The validity of this approach was proven for porous SSQ materials with different void size and prepared by Samsung Advanced Institute of Technology. Results of EP evaluation were compared by results of direct oservation by TEM.

4.6. Comparison of different instrumentations

As mentioned above despite of different physico-chemical principles involved in different instrumentations they give reasonable agreements (Kondoh et al. 2002, Grill et al. 2003). However, it is useful to mention here only 2 important features.

1. It is obvious that the pore connectivity is very important characteristic of porous low-k dielectrics. If pores are closed, one can hope that this material will allow avoiding number of technological problems related to diffusion of Cu and technological chemistries. This is the reason why problem of pore interconnectivity have been attracting a lot of attentions. Number of low-k dielectrics have demonstrated pores closed for Ps diffusion. For instance, in the case of SiLK V9 both EP and PALS showed multimodal porosity but PALS concluded that voids are closed while they are open for EP and toluene diffusion. It has been shown recently that specific limitations of Ps diffusion are related to difference in ground level energy of Ps in pores with different size. For this reason, if material contain pores with different size, Ps localizes in large voids and their diffusion to small necks is restricted. As a result, PALS shows that pores are closed but these necks are still large enough to facilitate diffusion of Cu and technological chemistries (Mogilnikov et al. 2004).

2. Difference in results obtained by different instrumentations can also increase when pore size is becoming very small (<2 nm). The main reason of these differences is related to uncertainty of theoretical base of micropore size calculation and physical uncertainty of pore size when it becomes comparable with atomic/molecular scale. Indeed, when the pore size is about 1-2 nm, presence of limited number of adsorbed molecules on pore wall will change the pores size. On the other hand, the pores never have ideal shape and micropore size is comparable with wall roughness. For instance, evaluation of SiLK Y gave

2 nm by SAXS, 1.5 nm by PALS and 1 nm by EP. The absolute difference between these data is not significant and reflects specific features of the calculation procedure.

5. Impact of porosity and pore size in integration

Porosity affects most of film properties important for their integration. Deposition of a uniform, thin and porous low-k film is only one of challenges that has been solved by many industrial companies. The real challenge is integration of the film into IC manufacturing processes. Compared to dense SiO2, low-k materials are mechanically wea, thermally unstable, poorly compatible with other materials, able to adsorb chemicals, etc. There are several general requirements for a low-k materials to be integrated:

hydrophobicity, mechanical and thermal stability, chemical and physical stability under processing conditions, compatibility with other materials etc. There is also the very important challenge for all functional materials"reliability in the user environment.

5.1. Hydrophobicity

A low-k material must be hydrophobic because water has dielectric constant close to 80. Even a small amount of adsorbed water significantly increases the total k value. As water is abundant in air (typical relative humidioty is 40-60 %), a low-k material should as hydrophobic as possible to prevent deterioration of its k value. This is especially important for porous materials, as they have a large surface area per unit volume where water could potentially be adsorbed. Hydrophobicity is usually achieved by the introduction of H, CHx and other organic groups. Organic polymers are generally hydrophobic.

5.2. Mechanical properties

The need for mechanical stability is primarily a consequence of the introduction of Cu as the electrical conductor in the wiring of ICs. When Al was used, the substrate was coated with AL, which was then patterned using photolithography and plasma etching. Unnecessary Al was etched away, leaving behind the wires. The space between the freestanding wires was then filled with dielectric (SiO2). Cu does not form volatile compounds with reactive gases and, therefore, plasma etching is difficult. As a result, the process scheme is reversed (damascene technology). First, a substrate is coated with a dielectric layer and trenches are formed ny plasma etching where Cu wires should be present. A Cu layer is then deposited by electroplating to fill the trenches and excess Cu is polished away. In the last step of the process, the dielectric must withstand mechanical stresses during the Cu removal polish. Low-k dielectric materials must also be able to survive stresses induced by the mismatch of thermal expansion coefficients or mechanical stresses during the packaging process when fully processed circuits are connected to the outside world.

Mechanical properties quickly deteriorate as porosity increases. The Young’s modulus of bulk SiO2 decreases from 76 Gpa to several Gpa for materials with 50 % porosity. As the Young’s modulus of a low-k material drops below 10 Gpa. Intergration becomes far more challenging. Therefore, the porosity of a low-k film should be as lo as possible to provide sufficient mechanical stability.

5.3.Thermal stability.

A low-k material must withstand the temperatures used for interconnect manufacturing. The temperatures can be as high as 400 — 500 °C. This is an issue for some organic polymers, as they begin to decompose at lower temperatures, implying sever restrictions on thermal processing and reducing the choice of polymers. In SSQ-based materials, elevated temperatures cause the conversion of SSQ cages into silica tetrahedral, increasing the k-value of the material.

5.4. Chemical and physical stability

A low-k material must withstand otherprocessing steps, especially etching and cleaning. For example, oxygen plasma used during patterning or cleaning of low-k material can break Si-H, Si-C and SiCH3 bonds, replacing them with Si-O. this increases the k value by introducing bonds of higher polarity and reduces hydrophobicity, which makes the material prone to water adsorption. The damaging effect is more pronounced for highly porous materials. It should be noted, though, that these processes can be tuned to reduce their effect on low-k materials.

5.5. Compatibility with other materials

This is a broader requirement and more difficult to specify. The three major concerns are the coefficient of thermal expansion (CTE), barrier deposition, and adhesion. A low-k material must be compatible with Cu in terms of CTE as described above. This is especially an issue for organic polymers, which can have significant CTE mismatches with Cu.

A low-k film must also be compatible with the diffusion barrier, which is needed to prevent the Cu penetration known for its high diffusivity. Cu readily degrades the dielectric properties of the insulator, increasing leakage currents and decreasing breakdown voltage. As a result, the reliability of devices

significantly decreases, making their lifetime unacceptably short. Cu diffusivity drastically increases with dielectric porosity. The barrier must stop Cu diffusion with zero tolerance. It must be thin (nanometer scale) and fully dense (contains no pinholes). Covering a porous material with such a barrier is nontrivial. If the material is highly porous with large pores connected to each other, the barrier ma have to be unacceptably thick in order to bridge all the exposed pores. It should be noted that the barrier itself should not penetrate into the porous material, which is a possibility with some deposition techniques. Deposition of a rigorous barrier tends to be easiest when pores are small and porosity is low.

Good adhesion between a low-k material and the barrier is another requirement. Otherwise, the barrier can delaminate because of the mechanical stresses induced by polishing or thermal sysling. Adhesion can also become more of an issue as the porosity of low-k material increases.

5.6. Reliability

There are many issues associated with the reliability of low-k materials. These materials will be implemented into circuits and systems and their properties have to persist in typical "user"environments for sufficiently long time. Thermal conductivity strongly decreases with porosity. Consequently, heat dissipation in the wires leads to increased electromigration of Cu. In addition, because the Cu wire is no longer firmly restrained in a rigid dielectric. Failure by hillock formation (extrusion of Cu through the surrounding dielectric) is more likely to occur. Furthermore, the thermal conduction mechanism in these newly developed materials has not been studied sufficiently to assure the long lifetime of the final circuit.

6. Conclusions

Reduction of the dielectric constant of a material can be accomplished by selecting chemical bonds with low polarizability and introducing porosity. Integration of such materials into microelectronic circuits, however, poses a number of challenges, as the materials must meet strict requirements in terms of properties and reliability. The introduction of low-k materials in microelectronics research and development ia a good example of how industrial needs drive new fundamental and applied research topics in science. Examples include pore structure characterization, deposition of thin films on porous substrates, mechanical properties of porous films, and conduction mechanisms in these materials.

The substantial efforts made by materials and IC researches to integrate the low-k films and continue historical device performance improvements have contributed to, and are still leading to, innovative fundamental and applied science.

Список литературы

1. Arkhincheev V. E., Kunnen E., Baklanov M. R. // Journal of Microelectronics, 2011, V. 88.

P. 696.

2. Arkhincheev V. E., Baklanov M. R.// Fractals in micro- and nanoelectronics // Fractals : theory and applications. 2011. New York. Novapublishers.

3. Baklanov M. R., Mogilnikov K. P., Polovinkin V. G. & Dultsev, F. N. // 2000, J.Vac.Sci.Technol. V. 18, P. 1385.

4. Baklanov M. R., & Mogilnikov K. P. // Microelectronic Engineering. 2002. V. 64. P. 335.

5. Baklanov M. R., Jehoul C., Flannery C. M., Mogilnikov, K. P., Gore R., Gronbeck D., Prokopowicz G., Sullivan C., You Y., Pugliano N. & Gallagher, M. // 2001 Proc. MRS Advanced Metallization Conference (AMC2001), 273-278.

6. Baklanov M. R., Mogilnikov K. P. & Yim J-H. // 2004 Mat.Res.Soc.Symp.Proc., V. 812, F5.4.1-F5.4.6.

7. Brinker C. J. & Scherer G. W. // Sol-Gel Science: the physics and chemistry of Sol-Gel processing. 1990 NY: Academic Press, Inc.

8. Dultsev F. N. & Baklanov M. R. // Electrochem.Sol.St.Lett. 1999 2, 192-194.

9. Dultsev F. N. // Thin Solid Films. 2004. V. 458.P. 137-142.

10. Gidley D. W., Frieze W. E., Dull T. L., Sun J., Yee A. F., Nguyen C. V. & Yoon D. Y. //

2000. Appl.Phys.Lett.. V. 76. P. 1282-1284.

11. Gregg S. J. & Sing, S. W. // Adsorption, Surface Area and Porosity, 19822nd ed., NY: Academic Press Inc.

12. Grill A. // 2003. J. Appl. Phys. V. 93. P. 1785.

13. Grill A., Patel V., Rodbell K. P., Huang, E., Baklanov M. R., Mogilnikov K. P., Toney M. & Kim H.-C. // Porosity in PECVD deposited SiCOH dielectrics: A comparative study. J. Appl. Phys. 2003 V. 94. P. 3427-3435.

14. Hedden R. C., Lee H. J., Bauer B. J. // Langmuir . 2004. V. 20. P 416-422.

15. Kondoh E., Baklanov M. R., Lin, E., Gidley D. W. & Nakashima, A. // Jap. J. Appl. Phys.

2001. V. 40. Part 2, 4A, P. L323-L326.

16. Lee H. J., Soles C. L., Liu D. W., Bauer B. J., and Wu W. L. // J.Polym.Sci. Part B-Polymer

Physics 2002. V. 40. P. 2170-2177.

17. Maex K., Baklanov M. R., Shamiryan D., Iacopi F., Brongersma S. H. & Yanovitskaya Z. S. // Appl. Phys. Rev. 2003 .V. 93. P. 8793-8841.

18. Mogilnikov, K. P., Baklanov, M. R., Shamiryan, D. & Petkov, M. P. // Jpn.J.Appl.Phys. 2004. V. 43. P. 243.

19. Murarka S. P. Dielectric properties // 2003. In Interlayer dielectrics. (ed. S. P. Murarka,

M. Eizenberg & A. K. Sinha), P. 7-36. Elsevier: Academic Press.

20. Petkov, M. P., Weber M. H., Lynn K. G., Rodbell K. P. // Appl. Phys. Lett. 2001. V. 79.

P. 3884-3886.

21. Plawsky J. L., Gill W. N., Jain A. & Rogojevic, S. Nanoporous dielectric films: fundamental property relations and microelectronic applications // 2003. In Interlayer dielectrics (ed. S. P. Murarka, M. Eizenberg & A.K.Sinha), P. 7-36. Elsevier: Academic Press.

22. Rouquerol J., Avnir D., Fairbridge C. W., Everett D. H., Haynes J. H., Pernicone N., Ramsay J. D. F., Sing K. S. W. & Unger K. K. // Pure & Appl. Chem. 1994. V. 66. P. 1739-1758.

23. Wu W., Wallace W. E., Lin E., Lynn G. W., Glinka C. J., Ryan R. T. & Ho H. // J. Appl. Phys. 2000. V. 87. P. 1193-1200.

Рукопись поступила в редакцию 20 мая 2011 г.

i Надоели баннеры? Вы всегда можете отключить рекламу.