Научная статья на тему 'Лабораторный практикум по микромеханике'

Лабораторный практикум по микромеханике Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
109
31
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
КАЛИБРОВКА / МИКРОМЕХАНИЧЕСКИЕ ИНЕРЦИАЛЬНЫЕ ДАТЧИКИ

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Попов Георгий Владимирович

Рассмотрен лабораторный практикум по микромеханике, созданный на базе трех серийных устройств: печатной платы трехосного акселерометра, печатной платы двухосного датчика угловой скорости, печатной платы инерциального измерительного блока с устройствами беспроводной связи с персональным компьютером.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по электротехнике, электронной технике, информационным технологиям , автор научной работы — Попов Георгий Владимирович

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

MEMS laboratory practice

MEMS laboratory practice is founded. Laboratory practice is based on three serial devises: triple axis accelerometer, dual axis gyro, wireless inertial measurement unit 6 degrees of freedom.

Текст научной работы на тему «Лабораторный практикум по микромеханике»

УДК 621.38

Лабораторный практикум по микромеханике

Г.В. Попов 1

1 МГТУ им. Н.Э. Баумана, Москва, 105005, Россия

Рассмотрен лабораторный практикум по микромеханике, созданный на базе трех серийных устройств: печатной платы трехосного акселерометра, печатной платы двухосного датчика угловой скорости, печатной платы инерциального измерительного блока с устройствами беспроводной связи с персональным компьютером

E-mail: iu2@iu2.bmstu.ru

Ключевые слова: микромеханические инерциальные датчики, калибровка.

В последнее время широкое распространение получили микромеханические инерциальные датчики (MEMS) и построенные на их основе навигационные системы. В соответствии с современными требованиями на кафедре «Приборы и системы ориентации, стабилизации и навигации» МГТУ им. Н.Э. Баумана (заведующий кафедрой д-р техн. наук, проф. С.Ф. Коновалов) в учебный процесс введен курс лекций по микромеханическим гироскопам и акселерометрам, в котором рассматривается принцип их действия, устройство, выходные характеристики и технология изготовления. Для закрепления теоретических знаний студентов было решено создать в инициативном порядке лабораторный практикум по микромеханике.

Исходные положения. Согласно представлениям кафедры, в основу построения практикума по микромеханике положены следующие принципы.

Практикум должен охватывать все стадии исследования и разработки навигационных систем на микромеханических инерциальных датчиках:

уровень 1 — исследование параметров и калибровка датчиков;

уровень 2 — исследование параметров и калибровка инерциального измерительного блока (ИИБ), измерение параметров движения;

уровень 3 — создание бескарданной инерциальной навигационной системы (БИНС) на базе ИББ.

С учетом фактора времени следует исключить длительный цикл разработки специальных устройств и создания специального программного обеспечения.

Согласно экономическому фактору, требуется свести к минимуму объем собственных разработок и использовать в максимальной степени имеющиеся стенды и оборудование для испытаний, которые созданы в гироскопической отрасли и установлены на кафедре.

Сбор, накопление и обработку экспериментальных данных следует проводить посредством современной вычислительной техники и с помощью существующих пакетов программного обеспечения.

Итогом работы должен стать комплект конструкторской документации, который позволил бы обеспечить воспроизводство оборудования для практикума в требуемом количестве. По лабораторным работам каждого уровня в состав комплекта конструкторской документации должны входить спецификация, методические указания по выполнению лабораторных работ, схема соединений, при необходимости — сборочные чертежи, принципиальные электрические схемы и перечни элементов на пульты и кабели, инструкции по проверке пультов и кабелей.

Материальную основу практикума составляют три серийных устройства (рис. 1), разработанных и изготовленных фирмой Spark-fun Electronics, Inc. (США) для технического творчества молодежи в области робототехники и управления движением:

- печатная плата трехосного акселерометра (рис. 1, а);

- печатная плата двухосного датчика угловой скорости (ДУС) (рис. 1, б);

- печатная плата ИИБ с устройствами беспроводной связи с персональным компьютером (рис. 1, в).

Рис. 1

Первые два устройства обеспечивают лабораторные работы уровня 1, третье устройство — лабораторные работы уровней 2 и 3.

На многослойной печатной плате акселерометра (Triple Axis Ac-celerometer Breakout-MMA7361L SEN-09652 фирмы Sparkfun Electronics, Inc) размещены микросхема трехосного акселерометра MMA7361L фирмы Freescale Semiconductor; конденсатор в цепи питания микросхемы (см. рис. 1, а); конденсаторы в выходных цепях микросхемы, определяющие полосу пропускания. Штыревой соединитель на девять контактов для внешнего подключения пользователь устанавливает на пайке в предусмотренные для этого отверстия.

Микросхема трехосного акселерометра MMA7361L имеет следующие характеристики [1]:

- габариты 3*5*1 мм; стандартный корпус LGA-14 (land grid array, 14 выводов);

- единственный источник питающего напряжения низкого уровня (2,2...3,6 В);

- малый потребляемый ток в рабочем режиме (не более 600 мкА);

- наличие СПЯЩЕГО РЕЖИМА (SLEEP MODE), который задается внешней командой логического уровня, с потребляемым током в этом режиме не более 10 мкА;

- наличие режима САМОТЕСТИРОВАНИЯ (SELF TEST), который задается внешней командой логического уровня, для проверки работоспособности микросхемы после транспортирования, монтажа и при эксплуатации;

- возможность выбора одного из двух диапазонов измеряемых ускорений (±1,5g или ±6g) по внешней команде логического уровня ДИАПАЗОН1^^ (G-SELECT);

- наличие функции определения нулевого ускорения 0G(0G-DETECT) для возможной защиты оборудования, например жесткого диска персонального компьютера, при падении;

- выходная информация об ускорении в виде постоянного напряжения;

- высокое значение масштабного коэффициента (800 мВ/g при диапазоне ±1,5g и 206 мВ/g при диапазоне ±6g);

- интегральная нелинейность (максимальное значение из всех систематических погрешностей по всему диапазону относительно прямой, аппроксимирующей реальную характеристику) в пределах ±1 % диапазона;

- возможность формирования полосы пропускания внешним пассивным фильтром нижних частот;

- прочный корпус, высокая ударная прочность, устойчивость к внешним возмущающим факторам (максимальное ударное ускорение по каждой оси ±5 000g неуказанной длительности, напряжение питания -0,3.3,6 В, падение с высоты 1,8 м, предельный температурный диапазон -40 °С...+125 °С, электростатический разряд напряжением до 2 000 В);

- соответствие экологическим стандартам по содержанию вредных веществ;

- низкая стоимость.

Микросхема LPR503AL обеспечивает высокую температурную стабильность и высокие характеристики в диапазоне значений рабочих температур -40 °С.. .+85 °С:

- изменение уровня нулевого ускорения не более ±2 mg/°Q

- изменение масштабного коэффициента не более ±0,0075 %/°С.

Микросхема представляет собой микромеханический трехосный

акселерометр со встроенной электроникой. Акселерометр состоит из микроскопического емкостного датчика ускорения (g-cell) и преобразователя сигнала в виде специализированной интегральной микросхемы, объединенных вместе в одном корпусе.

Микросхема трехосного акселерометра MMA7461L измеряет как положительные, так и отрицательные ускорения. При отсутствии ускорений выходной сигнал устанавливается на уровне половины напряжения питания. При положительных ускорениях значение выходного сигнала акселерометра лежит в пределах 0,5-0,9 напряжения питания, а при отрицательных — в пределах 0,5-0,1 напряжения питания.

Микросхема трехосного акселерометра MMA7461L обладает свойством линейной зависимости (или пропорциональности) основных параметров (нулевого сигнала и масштабного коэффициента) от напряжения питания (ratiometricity). Это означает, что при увеличении (уменьшении) напряжения питания смещение нулевого сигнала и масштабный коэффициент увеличиваются (уменьшаются) линейно. В практикуме используется источник питающего напряжения высокой стабильности, исключающий эти погрешности.

В связи с высоким выходным сопротивлением (32 кОм) каждого из трех выходов микросхемы изготовитель рекомендует, чтобы входное сопротивление нагрузки было 10 МОм и более.

На многослойной печатной плате двухосного ДУС (Gyro Breakout Board — LPR503AL Dual 30 SEN-09422 фирмы Sparkfun Electronics, Inc) размещены: микросхема двухосного ДУС LPR503AL фирмы STMicroelectronics (см. рис. 1, б); два конденсатора (электролитический 10 мкФ и керамический 10 нФ) в цепи питания; RC-цепочки рекомендуемого низкочастотного пассивного фильтра по каждому выходу, определяющие полосу пропускания; RC-цепочки дополнительного высокочастотного пассивного фильтра по каждому выходу; элементы пассивного фильтра в цепи возбуждения. Два штыревых соединителя на пять контактов каждый для внешнего подключения устанавливаются пользователем на пайке в предусмотренные для этого отверстия.

Микросхема двухосного ДУС LPR503AL имеет следующие особенности [2]:

- габариты 5*5*1,5 мм; стандартный корпус LGA-16L;

- единственный источник питающего напряжения низкого уровня (2,7.3,6 В);

- малый потребляемый ток в рабочем режиме (порядка 6,8 мА);

- наличие режима пониженного энергопотребления (POWER DOWN или, что то же самое, SLEEP MODE), который задается внешней командой логического уровня, с потребляемым током в этом режиме не более 5 мкА;

- наличие режима САМОТЕСТИРОВАНИЯ (SELF TEST), который задается внешней командой логического уровня, для проверки работоспособности микросхемы после транспортирования, монтажа и при эксплуатации;

- наличие режима ВЧ ФИЛЬТР (HIGH PASS FILTER), который задается внешней командой логического уровня, для подключения дополнительного высокочастотного фильтра по каждому выходу;

- выходная информация об угловой скорости в виде постоянного напряжения;

- два отдельных выхода по каждой оси (с коэффициентами передачи 1 и 4);

- высокое значение масштабного коэффициента (33,3 мв/град/с) по выходам с коэффициентом передачи 4;

- диапазон измеряемых угловых скоростей ±30 град/с;

- интегральная нелинейность в пределах ±1 % диапазона;

- полоса пропускания до 140 Гц на уровне -3 дБ;

- прочный корпус, высокая ударная прочность, устойчивость к внешним возмущающим факторам (максимальное ударное ускорение по каждой оси ±5000g длительностью до 0,5 мс; ±10 000g длительностью до 0,1 мс; напряжение питания -0,3.6 В, предельный температурный диапазон -40 °С...+125 °С, электростатический разряд напряжением до 2000 В);

- соответствие экологическим стандартам по содержанию вредных веществ;

- низкая стоимость.

Микросхема LPR503AL обеспечивает высокую температурную стабильность и высокие характеристики в диапазоне значений рабочих температур -40 °С.+85 °С:

- изменение уровня нулевой угловой скорости порядка 0,01 град/с/°С;

- изменение масштабного коэффициента порядка 0,03 %/°С.

Микросхема LPR503AL представляет собой микромеханический

двухосный ДУС со встроенной электроникой. ДУС состоит из микроскопической колеблющейся инерционной массы, контура управления колебаниями этой массы, дифференциального двухосного емкостного датчика угла отклонения инерционной массы и преобразователей сигнала по двум осям, объединенных вместе в одном корпусе.

Микросхема LPR503AL двухосного ДУС измеряет как положительные, так и отрицательные угловые скорости. При отсутствии угловой скорости выходной сигнал ДУС устанавливается на уровне внутреннего опорного напряжения микросхемы 1,23 В. В отличие от упомянутой выше микросхемы трехосного акселерометра, микросхема ДУС LPR503AL не обладает свойством линейной зависимости нулевого сигнала и масштабного коэффициента от напряжения питания (ratiometricity).

Инерциальный измерительный блок Atomic IMU 6 DOF (Atomic Inertial Measurement Unit 6 Degrees of Freedom SEN-09812 фирмы Sparkfun Electronics, Inc.) [3] (см. рис. 1, в) обеспечивает измерение по трем взаимно ортогональным осям трех составляющих кажущегося ускорения и трех составляющих угловой скорости. Кроме того, он осуществляет преобразование этих данных в 10-разрядный двоичный код и ввод кодов в персональный компьютер через USB-порт как по проводному UART-интерфейсу, так и без проводов с дополнительным XBee приемопередатчиком. В рассматриваемом практикуме задействована беспроводная конфигурация с использованием радиоканала для передачи данных. Упрощенная схема ИИБ приведена на рис. 2.

Рис. 2

В ИИБ используются следующие датчики: один трехкомпонент-ный акселерометр ММЛ7261Ь с переключаемым диапазоном ±1,5^ или ±6g; три одноосных датчика угловой скорости LISY300AL [4] с диапазоном ±300 град/с.

Акселерометр и гироскопы применяются в соответствии с рекомендациями изготовителей: предусмотрены развязки для подключения питания и фильтров на выходах датчиков. И акселерометр, и гироскопы имеют внутреннюю температурную компенсацию.

Отметим следующие параметры ИИБ:

- единственный источник питающего напряжения низкого уровня (3,4.10 В);

- потребляемый ток 24 мА при наличии проводного интерфейса и 75 мА при наличии XBee приемопередатчика;

- полоса пропускания датчиков и разрешающая способность

гироскопа LYSI300AL - 88 Гц, 0,977 град/с/ед. мл. разр.;

акселерометра MMA7261L - 350 Гц по осям X и Y; 150 Гц по оси

Z; 0,00403g^. мл. разр. при диапазоне 1,5g; 0,016^/ед. мл. разр. при диапазоне 6g.

Питание ИИБ осуществляется от литий-полимерного (LiPo) аккумулятора WKLIP0016 752540/3.7V/500vAh/20C номинальной емкостью 500 мА-ч с напряжением 4,2.3,7 В. Аккумулятор подключается к линейному стабилизатору напряжения 3,3 В с помощью разъема и выключателя ПИТАНИЕ. О наличии питания от аккумулятора сигнализирует светодиод ПИТАНИЕ красного цвета. Стабилизированное напряжение с выхода линейного стабилизатора поступает на микропроцессор, три микросхемы ДУС, один трехкомпонентный акселерометр и другие устройства инерциального измерительного модуля. Аналоговые сигналы инерциальных датчиков в виде положительного напряжения постоянного тока поступают на входы шести 10-разрядных АЦП-микропроцессора. В качестве опорного напряжения АЦП-микропроцессора используется то же напряжение 3,3 В с выхода линейного стабилизатора. Цифровая информация инерциальных датчиков в виде UART-интерфейса поступает на приемопередающий модуль (XBee 1mW Chip Antenna series 1 WRL-08664), устанавливаемый непосредственно на ИИБ в двухрядный штыревой разъем (рис. 3). Аналогичный модуль размещают в двухрядный штыревой разъем в устройство XBee Explorer USB, которое осуществляет прием, преобразование поступающей информации и ввод данных в персональный компьютер по стандартному кабелю через USB-порт (рис. 4).

Радиоканал связи ИИБ с компьютером обеспечивает устойчивую радиосвязь на частоте 2,4 ГГц в одной комнате или вне помещения на удалении до 100 м. Канал является двунаправленным: от персонального компьютера в ИИБ поступают команды управления и данные начальной установки, от ИИБ в персональный компьютер поступает информация от инерциальных датчиков. Мощность приемопередатчиков (1 мВт) безопасна для работающих с ними людей.

В ИИБ применен микропроцессор Atmel ATMega168TM:

Флеш-память, Кб..................................................................... 16

СППЗУ, Кб.............................................................................. 0,5

ОЗУ, бит ................................................................................1024

Максимальное значение тактовой частоты, МГц ................ 20

Ввод/вывод (I/O) сигналов логического уровня, каналов ... 23

Количество 10-битных АЦП, каналов................................... 10

Выход ШИМ, каналов .............................................................. 3

Таймер, каналов:

16 бит................................................................................... 1

8 бит..................................................................................... 2

Интерфейсы ....................................RTC, SPI, UART, TWI, ISP

Рис. 3

Рис. 4

Работа микропроцессора тактируется кварцевым резонатором с частотой 10 МГц. Начальная аппаратная инициализация микропроцессора осуществляется кнопкой УСТАНОВКА. О работе микропроцессора в разных режимах сигнализирует светодиод СОСТОЯНИЕ. При начальной установке процесса измерений назначают диапазон измерений трехосного акселерометра: ±1,5g или ±6g. Микропроцессор формирует и удерживает в течение всего процесса измерений аппаратную команду ВЫБОР ДИАПАЗОНА на соответствующем входе микросхемы акселерометра.

Контрольно-испытательное оборудование. В лабораторном практикуме используют известные методики испытаний и калибровки инерциальных датчиков и гироскопических систем. Исследования в разных ориентациях относительно отвесной линии и относительно оси вращения одноосного скоростного стола позволяют определить все основные параметры объекта испытаний: стабильность показаний в запуске и от запуска к запуску, масштабный коэффициент, интегральную нелинейность, коэффициенты влияния линейных ускорений, невыставки осей чувствительности относительно базовых поверхностей и т. д.

На кафедре имеется общеизвестное стандартное оборудование гироскопической отрасли: развязанное основание, горизонтируемое основание (тренога), одноосный скоростной стол с вертикальной осью вращения, пузырьковый уровень, куб с ортогональными гранями. Остановимся более подробно на вновь разработанных пультах и цифровом мультиметре.

Для лабораторных работ уровня 1 с платой акселерометра и платой ДУС созданы пульты ДУС-Микро (рис. 5, а) и АК-Микро (рис. 5, б), которые содержат автономный источник питания в виде блока на четыре аккумулятора габарита ААА (или четыре батарейки габарита ААА), перестраиваемый галетным переключателем линейный стабилизатор напряжения, устройства коммутации и отображения режимов работы, измерительные гнезда, разъем связи с объектом испытаний. Пульты связаны с платами акселерометра и ДУС соответствующими кабелями. Электрические схемы и конструкция обоих пультов унифицированы.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Цифровой мультиметр является основным средством измерения в лабораторных работах уровня 1. По совокупности многих качеств выбран цифровой мультиметр APPA-305 USB фирмы APPA Technology Corporation [5]. Этот мультиметр включен в Государственный реестр средств измерений РФ за № 20088-05. В лабораторном практикуме мультиметр используется в режиме измерения постоянного напряжения. Входное сопротивление мультиметра составляет 10 МОм, скорость измерения — 2 изм/с при пятиразрядной индикации результатов измерений или 4 изм/с при четырехразрядной индикации. Измерения следует начинать не ранее чем через 60 с после включения мультиметра. Во всех режимах работы предел измерения

мультиметр выбирает автоматически, начиная с верхнего предела значений измерения. Предел абсолютной допускаемой погрешности на используемом пределе измерения 4 В составляет ±0,06 % измеряемой величины плюс 200 мкВ. Связь мультиметра с пультами осуществляется двумя измерительными проводами с зажимами типа «крокодил», входящими в комплект поставки мультиметра. С персональным компьютером мультиметр связан через ^В-порт с помощью кабеля с оптоэлектронной развязкой, входящего в комплект поставки мультиметра.

Рис. 5

Для лабораторных работ уровней 2 и 3 пульты и мультиметр не требуются.

В лабораторном практикуме регистрация всех экспериментальных данных осуществляется с помощью персонального компьютера через USB-порт.

Программное обеспечение. Перед проведением лабораторных работ уровня 1 на персональном компьютере должно быть установлено программное обеспечение WinDMM300 с CD-диска, входящего в комплект поставки мультиметра APPA-305 (операционная система Microsoft Windows XP). Для связи компьютера с мульти-метром APPA305 необходим USB-порт. Персональный компьютер обеспечивает регистрацию данных, накопленных во время проведения лабораторной работы, в файле с назначенным пользователем именем. Дальнейшая камеральная обработка данных в соответствии с методическими указаниями может быть выполнена в любом программном пакете с элементарной статистикой.

Перед проведением лабораторных работ уровня 2 на персональном компьютере должна быть установлена терминальная программа ИИБ, находящаяся в свободном доступе. Эта программа взаимодействует с программным обеспечением ИИБ, которое поставляется загруженным в микропроцессор вместе с ИИБ.

Терминальная программа позволяет осуществить настройку параметров обмена по радиоканалу. Стандартные параметры настройки: скорость обмена — 115 200 бод, формат — 8 бит, проверка на четность — N, стоповых битов — 1, управление потоком — N.

С помощью экранного меню можно:

- увидеть и при необходимости откорректировать перечень выводимой информации;

- назначить формат выводимой на экран информации в бинарном (binary) или текстовом (ASCII) формате;

- установить автоматическую или назначаемую каждый раз заново инициализацию работы ИИБ;

- назначить диапазон работы акселерометра (±1,5g или ±6g);

- назначить частоту считывания информации от 10 Гц и выше (установленная экспериментально предельная частота обмена составляет 660 Гц в бинарном формате и 170 Гц в текстовом формате);

- сохранить перечисленные выше установки и запустить ИИБ;

- прервать работу ИИБ.

Персональный компьютер обеспечивает отображение на дисплее информации ИИБ в виде бегущих друг за другом строк следующего вида:

- литера A;

- счетчик с номером замера в диапазоне 0.32 767 (по исчерпании емкости счетчика счет снова начинается с нуля);

- показания акселерометра по оси X;

- показания акселерометра по оси Y;

- показания акселерометра по оси Z;

- показания ДУС по оси X (тангаж);

- показания ДУС по оси Y (крен);

- показания ДУС по оси Z (рыскание);

- литера Z.

Показания микромеханических датчиков представлены в виде целых чисел в диапазоне 0.1023 с нулем посередине.

Кроме отображения на дисплее, по указанию пользователя можно регистрировать данные, накопленные во время проведения лабораторной работы, в файле с назначенным пользователем именем. Дальнейшая камеральная обработка данных в соответствии с методическими указаниями может быть осуществлена с помощью любого программного пакета с элементарной статистикой.

Таким образом, для проведения лабораторных работ уровней 1 и 2 используется готовое программное обеспечение. Для проведения работ уровня 3 по реализации БИНС необходимо создать программное обеспечение реального времени.

Стоимостные характеристики оборудования. В таблице приведены стоимостные характеристики оборудования, приобретенного за рубежом, для обеспечения лабораторного практикума. Цены даны без учета доставки и дилерских наценок. По отдельным позициям в скобках указаны цены на оборудование годичной давности.

Таблица

Номер п/п Наименование устройства Кол-во, шт. Цена, долл. Итого, долл.

1 Плата трехосного акселерометра Triple Axis Accelerometer Breakout-MMA7361L 1 11,95 (19,95) 11,95

2 Плата двухосного ДУС Gyro Breakout Board — LPR503AL Dual 30 1 29,95 29,95

3 Инерциальный измерительный блок Atomic IMU — 6 Degrees of Freedom — Xbee Ready 1 131,95 (125,95) 131,95

4 Аккумулятор LiPo WKLIPO016 752540/3.7V/500mAh/20C 2 6,95 13,90

5 Зарядное устройство LiPo Charger Basic — MiniUSB 1 9,95 9,95

6 Приемопередатчик XBee 1mW Chip Antenna — Series 1 2 22,95 45,90

7 Преобразователь XBee Explorer USB 1 24,95 24,95

8 Кабель USB 1 4,95 4,95

Итого: 273,50

Стоимость цифрового мультиметра APPA305 вместе с программным обеспечением и кабелем с оптоэлектронной развязкой для стыковки с персональным компьютером по USB-порту составляет 12 200 руб. Стоимость электронных компонентов, корпусов, установочных изделий, крепежа, расходных материалов, зарядного устройства и запасного комплекта аккумуляторов не превышает для каждого пульта АК-Микро и ДУС-Микро 5 000 руб.

Таким образом, можно утверждать, что при реализации лабораторного практикума по микромеханике затраты минимальны.

В заключение отметим, что созданный на кафедре «Приборы и системы ориентации, стабилизации и навигации» МГТУ им. Н.Э. Баумана лабораторный практикум по микромеханическим инерциаль-ным датчикам охватывает все стадии исследовании и проектирования навигационных систем на этих датчиках. Два специальных пульта, разработанных и изготовленных для проведения работ уровня 1, унифицированы друг с другом по конструкции и схемным решениям. Остальное контрольно-испытательное оборудование, используемое в лабораторном практикуме, является стандартным для гироскопической отрасли. Реализация практикума осуществлена как составная часть учебного процесса при участии студентов — членов гироскопического кружка кафедры «Приборы и системы ориентации, стабилизации и навигации».

СПИСОК ЛИТЕРАТУРЫ

1. ±1.5g, ±6g Three Axis Low-g Micromachined Accelerometer MMA7361L // Freescale Semiconductor, Inc. Technical Data: Document Number: MMA7361L Rev 0. 04/2008. Р. 11.

2. Preliminary Data: MEMS motion sensor: dual axis pitch and yaw ±30°/s analog gyroscope // ST Microelectronics. Doc ID 15804 Rev 2. Р. 12.

3. Atomic IMU - 6 Degrees of Freedom - Xbee Read // Sparkfun Electronics, Inc.: 2009.3.25. P. 4.

4. MEMS inertial sensor: single-axis ±300°/s analog output yaw rate gyroscope // ST Microelectronics: Rev. 1. May 2008. P. 13.

5. Мультиметры цифровые APPA-301, APPA-303, APPA-305: Руководство по эксплуатации. М., 2010. 64 с.

Статья поступила в редакцию 11.02.2013 г.

i Надоели баннеры? Вы всегда можете отключить рекламу.