Научная статья на тему 'Исследование режимов жидкостного травления жертвенного слоя SiO 2 для формирования микромеханических структур на основе Si*/SiO 2/Si'

Исследование режимов жидкостного травления жертвенного слоя SiO 2 для формирования микромеханических структур на основе Si*/SiO 2/Si Текст научной статьи по специальности «Нанотехнологии»

CC BY
1314
199
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
НАНОТЕХНОЛОГИИ / МИКРОЭЛЕКТРОМЕХАНИЧЕСКИЕ СИСТЕМЫ / КОНСОЛЬНЫЕ СТРУКТУРЫ / ПОВЕРХНОСТНАЯ МИКРООБРАБОТКА / ФОКУСИРОВАННЫЕ ИОННЫЕ ПУЧКИ / ЖИДКОСТНОЕ ТРАВЛЕНИЕ / ДИОКСИД КРЕМНИЯ / ПОЛИКРИСТАЛЛИЧЕСКИЙ КРЕМНИЙ / NANOTECHNOLOGY / MEMS / CANTILEVERS / SURFACE MICROMACHINING / FOCUSED ION BEAMS / WET ETCHING / SILICON DIOXIDE / POLYCRYSTALLINE SILICON

Аннотация научной статьи по нанотехнологиям, автор научной работы — Гусев Евгений Юрьевич, Житяева Юлия Юрьевна, Коломийцев Лексей Сергеевич, Гамалеев Владислав Анатольевич, Коц Иван Николаевич

Представлены результаты экспериментальных исследований удаления жертвенного слоя диоксида кремния в буферном растворе плавиковой кислоты и фторида аммония (HF: NH 4F = 1:4) в зависимости от времени травления (от 10 до 60 с) и первоначальной конфигурации структуры. Разработана и изготовлена серия элементов балочного типа, на основе одной из базовых структур технологии поверхностной микрообработки поликристаллический кремний/оксид кремния/подложка кремния Si*/SiO 2/Si. Топологический рисунок элементов формировали литографией фокусированными ионными пучками. Исследования полученных элементов, в том числе измерение параметров профиля SiO 2 проводили методами растровой электронной микроскопии и фокусированных ионных пучков. Предложены два способа вскрытия окон под жидкостное травление, приводящие к различному профилю фронта травления классическому и нетипичному для жидкостного травления отвесному. Показано, что процесс жидкостного травления жертвенного слоя практически не зависит от ширины элементов топологического рисунка структурного слоя. Представлена временная зависимость глубины травления в горизонтальном направлении. Значение скорости травления оксида составило около 20 нм/с, а минимальное время необходимое для удаления оксида кремния под балками шириной 0,5 2,5 мкм (с шагом 0,5 мкм) в диапазоне от 11 с до 62,5 с. Установлено, что наличие окон перфорации в структурном слое значительно уменьшает время необходимое для удаления жертвенного слоя. Выявлено возможное негативное влияние использования фокусированных ионных пуков при изготовлении чувствительных элементов МЭМС. Результаты исследований могут быть использованы при разработке технологических процессов изготовления как инерционных датчиков (гироскопов, акселерометров), так и других МЭМС элементов.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по нанотехнологиям , автор научной работы — Гусев Евгений Юрьевич, Житяева Юлия Юрьевна, Коломийцев Лексей Сергеевич, Гамалеев Владислав Анатольевич, Коц Иван Николаевич

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

RESEARCH OF WET SiO 2 SACRIFICIAL LAYER ETCHING FOR MEMS STRUCTURES FORMING BASED ON poly-Si/SiO 2/Si

This paper presents results of the removal of the silicon dioxide sacrificial layer by wet etching. The samples were polysilicon structural layer on thermal SiO 2/n-Si(100) substrates. The samples were patterned by focused ion beams lithography: a part of them down to SiO 2 layer, another ones to substrate. The structures were etching at buffered solution of hydrofluoric acid and ammonium fluoride (HF: NH 4F = 1: 4) for 10, 20, 40 and 60 seconds and for two type patterns. The series of beam type elements were fabricated and were investigated by scanning electron microscopy and focused ion beams. The independence of the etching parameters on pattern width is shown. SiO 2 etch figures (profiles) were measured by focused ion beams. It has been established that the sacrificial etching results in well-known etch figure for polysilicon pattern and atypical vertical shape for dioxide silicon pattern. The time dependence of the lateral etch depth was found. The etch rate was approximately of about 20 nm/sec, and the minimum time required for sacrificial SiO 2 removing under the beams width of 0.5-2.5 mm was in the range of 11 to 62.5 seconds. It was established that beams perforation significantly reduces this time. A potential of negative impact of focused ion beams on MEMS sensors fabrication technology was noted. The outcome of this study is useful for the development of manufacturing processes and fabrication of the inertial sensors (gyroscopes, accelerometers) and other MEMS by surface micromachining.

Текст научной работы на тему «Исследование режимов жидкостного травления жертвенного слоя SiO 2 для формирования микромеханических структур на основе Si*/SiO 2/Si»

Светличный Александр Михайлович - Южный федеральный университет; e-mail: amsvetlich@gmail.com; 347928, г. Таганрог, ул. Шевченко, 2, корп. Е; тел.: +78634371611; кафедра нанотехнологий и микросистемной техники; к.т.н.; доцент.

Житяев Игорь Леонидович - e-mail: jityaev.igor@gmail.com; кафедра нанотехнологий и микросистемной техники; аспирант.

Демьяненко Максим Валерьевич - e-mail: maks_vd@mail.ru; кафедра нанотехнологий и микросистемной техники; аспирант.

Спиридонов Олег Борисович - Южный Лазерный Инновационно-Технологический Центр; e-mail: o.spiiidonov@slitc.ru; 347923, г. Таганрог, пл. Авиаторов, 1; тел.: +78634340855; к.т.н.; генеральный директор.

Волков Евгений Юрьевич - e-mail: eyvolkov@gmail.com; к.т.н.; инженер.

Svetlichnyi Alexander Mikhailovich - Southern Federal University; e-mail: amsvetlich@gmail.com; 2, Shevchenko St., corps. Е, Taganrog, 347928, Russia; phone: +78634371611; the department of nano-technologies and microsystems; cand. of eng. sc.; associate professor.

Jityaev Igor Leonidovich - e-mail: jityaev.igor@gmail.com; the department of nanotechnologies and microsystems; postgraduate student.

Demyanenko Maxim Valeryevich - e-mail: maks_vd@mail.ru; the department of nanotechnolo-gies and microsystems; postgraduate student.

Spiridonov Oleg Borisovich - Southern Laser Innovation Technological Center; e-mail: o.spiridonov@slitc.ru; 1, Aviatorov square, Taganrog, 347923, Russia; phone: +78634340855; cand. of eng. sc.; CEO.

Volkov Evgeny Yurievich - e-mail: eyvolkov@gmail.com; cand. of eng. sc.; engineer.

УДК 621.38.049.77

Е.Ю. Гусев, Ю.Ю. Житяева, А.С. Коломийцев, В.А. Гамалеев, И.Н. Коц,

А.В. Быков

ИССЛЕДОВАНИЕ РЕЖИМОВ ЖИДКОСТНОГО ТРАВЛЕНИЯ

ЖЕРТВЕННОГО СЛОЯ SIO2 ДЛЯ ФОРМИРОВАНИЯ МИКРОМЕХАНИЧЕСКИХ СТРУКТУР НА ОСНОВЕ SI*/SIO2/SI

Представлены результаты экспериментальных исследований удаления жертвенного слоя диоксида кремния в буферном растворе плавиковой кислоты и фторида аммония (ИР: МИ^ = 1:4) в зависимости от времени травления (от 10 до 60 с) и первоначальной конфигурации структуры. Разработана и изготовлена серия элементов балочного типа, на основе одной из базовых структур технологии поверхностной микрообработки - поликристаллический кремний/оксид кремния/подложка кремния - 81*/Б102/В1. Топологический рисунок элементов формировали литографией фокусированными ионными пучками. Исследования полученных элементов, в том числе измерение параметров профиля SЮ2 проводили методами растровой электронной микроскопии и фокусированных ионных пучков. Предложены два способа вскрытия окон под жидкостное травление, приводящие к различному профилю фронта травления - классическому и нетипичному для жидкостного травления отвесному. Показано, что процесс жидкостного травления жертвенного слоя практически не зависит от ширины элементов топологического рисунка структурного слоя. Представлена временная зависимость глубины травления в горизонтальном направлении. Значение скорости травления оксида составило около 20 нм/с, а минимальное время необходимое для удаления оксида кремния под балками шириной 0,5-2,5 мкм (с шагом 0,5 мкм) - в диапазоне от 11 с до 62,5 с. Установлено, что наличие окон перфорации в структурном слое значительно уменьшает время необходимое для удаления жертвенного слоя. Выявлено

возможное негативное влияние использования фокусированных ионных пуков при изготовлении чувствительных элементов МЭМС. Результаты исследований могут быть использованы при разработке технологических процессов изготовления как инерционных датчиков (гироскопов, акселерометров), так и других МЭМС элементов.

Нанотехнологии; микроэлектромеханические системы; консольные структуры; поверхностная микрообработка; фокусированные ионные пучки; жидкостное травление; диоксид кремния; поликристаллический кремний.

E.Yu. Gusev, J.Y. Jityaeva, V.A. Gamaleev, A.S. Kolomiytsev, I.N. Kots, A.V. Bykov

RESEARCH OF WET SIO2 SACRIFICIAL LAYER ETCHING FOR MEMS STRUCTURES FORMING BASED ON POLY-SI/SIO2/SI

This paper presents results of the removal of the silicon dioxide sacrificial layer by wet etching. The samples were polysilicon structural layer on thermal SiO2/n-Si(100) substrates. The samples were patterned by focused ion beams lithography: a part of them down to SiO2 layer, another ones to substrate. The structures were etching at buffered solution of hydrofluoric acid and ammo-mum fluoride (HF: NH4F = 1: 4) for 10, 20, 40 and 60 seconds and for two type patterns. The series of beam type elements were fabricated and were investigated by scanning electron microscopy and focused ion beams. The independence of the etching parameters on pattern width is shown. SiO2 etch figures (profiles) were measured by focused ion beams. It has been established that the sacrificial etching results in well-known etch figure for polysilicon pattern and atypical vertical shape for dioxide silicon pattern. The time dependence of the lateral etch depth was found. The etch rate was approximately of about 20 nm/sec, and the minimum time required for sacrificial SiO2 removing under the beams width of 0.5-2.5 mm was in the range of 11 to 62.5 seconds. It was established that beams perforation significantly reduces this time. A potential of negative impact offocused ion beams on MEMS sensors fabrication technology was noted. The outcome of this study is useful for the development of manufacturing processes and fabrication of the inertial sensors (gyroscopes, accelerometers) and other MEMS by surface micromachining.

Nanotechnology; MEMS; cantilevers; surface micromachining; focused ion beams; wet etching; silicon dioxide; polycrystalline silicon.

Введение. Постоянное развитие современной техники предъявляет все большие требования по чувствительности, габаритным размерам, цене, потребляемой мощности к микроэлектромеханическим (МЭМС) устройствам (гироскопам, акселерометрам) [1-6]. В связи с чем, разработка и производство новых высокочувствительных инерционных датчиков является актуальной задачей для отечественного МЭМС приборостроения, что подтверждается «Концепцией по развитию производства МЭМС-изделий в России на период до 2017 г.» представленной Русской Ассоциацией МЭМС в 2012 году [3].

Микромеханические системы - это не только конструкция, но и совокупность технологических процессов ее создания (микрообработка). Известны две основные технологии микрообработки: объемная и поверхностная [4]. В первой, наиболее распространенной и разработанной, формирование механических элементов производится непосредственно из материала подложки [5]. Вторая является более перспективной из-за возможности изготовления электрической и механической части устройства на одном кристалле в одном технологическом цикле, исключения стадии микросборки, а также уменьшения габаритных размеров элементов [6, 7]. В ней структура формируется из тонких пленок /слоев (структурных и жертвенных). Наибольшее распространение получила комбинацией материалов слоев: фосфо-росиликатное стекло или диоксид кремния (SiO2) в качестве жертвенного слоя, и поликристаллический кремний (Si*) в качестве структурного слоя [4]. К слоям предъявляют ряд требований по режимам нанесения/удаления (скорость, температура, технологичность), а также механическим свойствам (внутреннее напряжение, сопротивление разрушению, износостойкость) [8, 9].

Процесс удаления жертвенного слоя является одним из важных этапов технологии поверхностной микрообработки, от которого во многом зависит качество готового изделия [10, 11]. При этом используется метод жидкостного травления или комбинация плазменного и жидкостного травления [12]. Жидкостное травление - наиболее простой и универсальный метод, и по своей природе изотропно [12, 13]. Основными параметрами/характеристиками такого травления являются не только скорость и селективность, но и профиль травления [14]. Причем, при разработке нового технологического процесса изготовления МЭМС устройства в рамках поверхностной микрообработки установление значений параметров травления является априорным. Это особенно важно в случае травления жертвенного слоя под структурами сложной геометрии [15].

Основным травителем диоксида кремния является раствор плавиковой кислоты (HF) и фторида аммония (NH4F) при различных концентрациях [4, 16]. Однако в большинстве работ с его использованием либо не указаны значения параметров травления, либо приводятся для травления в вертикальном направлении, которые могут значительно отличаться от травления в горизонтальном направлении (под структурным слоем) [16].

Целью данной работы является установление взаимосвязи между условиями травления (временем и конфигурацией фронта травления) жертвенного слоя диоксида кремния в растворе 40% HF: 40% NH4F = 1:4 и конфигурацией профиля травления для формирования чувствительных элементов микромеханических структур на основе поликремния шириной от 0,5 до 2,5 мкм.

Методика эксперимента. С целью установления влияния геометрических параметров чувствительного элемента МЭМС на скорость удаления жертвенного слоя и определения оптимального времени травления изготовили тестовый элемент 7^24 мкм2 на основе структуры поликристаллический кремний/диоксид кремния/монокристаллический кремний (Si*/SiO2/Si).

В качестве подложек использовали кусочки кремния КЭФ-4,5 размером 5^5 мм2 с термическим окислом толщиной 400 нм. Перед нанесением структурного слоя производили штатную очистку подложек согласно методике [17, 18]. Структурный слой Si* толщиной 0,7-0,8 мкм наносили методом плазмохимического осаждения из газовой фазы на установке PlasmoLab 100 (Oxford Instruments, Англия) по предварительно выбранному режиму: ВЧ мощность - 40 Вт, температура - 700 °С, полный поток газов 500 см3/мин (SiH4:Ar = 1:9), давление - 1 мм рт. ст [8].

На этапе изготовления единичных тестовых образцов вместо оптической фотолитографии более оправданным является использование ионно-лучевого травления фокусированным ионным пучком (ФИП) [19,20]. Оно позволяет достичь высокой селективности, точности и воспроизводимости параметров микро- и наноструктур без использования резистов и масок, изготовление которых является трудоемким и затратным процессом [21-23].

Топологический рисунок тестового элемента формировали путем литографии методом фокусированных ионных пучков (ФИП) на установке Nova NanoLab 600 (FEI Company, Нидерланды). При этом использовали разработанный растровый графический шаблон bmp-формата, содержащий чередующиеся светлые (экспонируемые) области 7^2 мкм2 и темные (неэкспонируемые) прямоугольные области шириной от 0,5 до 2,5 мкм (с шагом 0,5 мкм), причем одна из темных областей содержит пять окон 0,5^0,5 мкм2 находящихся на расстоянии 1 мкм друг от друга (рис. 1).

Травление ФИП по шаблону производили при токе ионного пучка 3 нА, ускоряющем напряжении - 30 кэВ в течение - 80 с для получения тестовых элементов типа I (травление до слоя SiO2) и 150 с - типа II (травление до слоя Si*). Режимы травления выбирали по результату предварительных измерений слоев Si* и SiO2 удалением ФИП прямоугольных участков структуры.

Выбранное значение тока - 3 нА является достаточно большим и оказывает значительное влияние на подтравливание стенок элемента, из-за увеличения диаметра ионного пучка, однако это позволяет на порядок сократить время травления одного элемента [22].

2,5 2 1,5 0,5

■ 1 1 4- ► 4-

б

Рис. 1. Тестовый элемент а) шаблон для ФИП травления (размеры указаны в мкм), б) изображение элемента типа I до жидкостного травления полученное при помощи растрового электронного микроскопа

Удаление жертвенного слоя SiO2 проводили при непрерывном перемешивании и комнатной температуре в буферном растворе плавиковой кислоты и фторида аммония (40 % ОТ : 40 % NH4F = 1:4) в течение 10, 20, 40 и 60 с по реакции: БЮ2 + 6/^ ^ Н2Б1Р6 + 2Н20 Затем образцы промывали в деионизованной воде и сушили в температурной печи ЛР-401-250 (Sawatec, Швейцария) в потоке осушенного азота. КН^ добавляли для поддержания постоянной скорости травления.

Значения глубины травления в горизонтальном направлении 5 (боковое подтравливание) и ширины оставшегося окисла V под балками шириной ё определяли на границе раздела Si*/SiO2 согласно рис. 2.

Рис. 2. Схематическое изображение профиля жидкостного травления элемента

структуры

а

Структуры после травления исследовали методами растровой электронной микроскопии (РЭМ) и фокусированных ионных пучков с использованием установки Nova NanoLab 600. Определение профиля травления производили путем удаления ФИП прямоугольных участков тестового элемента различной ширины.

Глубину травления в горизонтальном направлении 5 дополнительно определяли по поперечному сечению участка структуры прилегающего к тестовому элементу.

Результаты и обсуждение. Изготовлена серия тестовых элементов 7^24 мкм2 на основе структуры поликристаллический кремний/диоксид кремния/монокристаллический кремний (Si*/SiO2/Si).

Конфигурация профиля травления жертвенного слоя тестового элемента в горизонтальном направлении в зависимости от ширины балки и времени жидкостного травления показана на рис. 3 (численные значения для структур типа I сведены в табл. 1).

Г 4 1

500 мкм

г

Рис. 3. РЭМ-изображения элементов структуры при времени жидкостного травления для I типа а) 10 с, б) 40 с и II типа в, г) 60 с

Таблица 1

Значения ширины оставшегося слоя 8Ю2 при различном времени травления

в

№ Ширина балки d, мкм Ширина оксида w, мкм

t=10 c t=20 c t=40 c t=60 c

1 0,5 0,08 0 0 0

2 1 0,46 0,16 0 0

3 1,5 1,05 0,72 0 0

4 2 1,48 0,98 0,16 0

5 2,5 2,09 1,7 0,6 0

Анализ экспериментальных данных рис. 3 и табл. 1 позволил установить следующее.

При времени травления 60 с жертвенный слой полностью удален под всеми балками тестового элемента (рис. 3,в).

Для структур типа II скорость удаления жертвенного слоя на границах раздела Si*/SiO2 и SiO2/Si различна и смещена в сторону последней. При этом наблюдается нетипичный профиль травления (см. рис. 3,в,г). Предположительно, это может быть связано с образованием ингибиторного для жидкостного травления по-

крытия из атомов кремния, переосажденных со структурного слоя на боковую поверхность (фронт травления) жертвенного слоя в процессе ФИП-литографии [22]. Таким образом, при переходе к этапу изготовления изделий ФИП-литография должна быть заменена на классическую оптическую литографию. Сопутствующим фактором может быть различие энергетических состояний границ раздела, определяемых способами их формирования: априорно нетипично низкая для термического окисла адгезия к подложке (более характерная для плазменного способа получения), при более высокой к структурному слою, осажденному при относительно высоких температурах (700 °С) и имеющему более развитую границу раздела, обусловленную зерненой поликристаллической структурой [8].

Сравнительный анализ профилей травления структур I и II типа при одинаковом времени жидкостного травления (рис. 4), показал, что в последнем случае профиль является более отвесным. Это объясняется тем, что травление происходит только в горизонтальном направлении.

Рис. 4. РЭМ-изображения конфигурации профиля травления жертвенного слоя

при / = 20 с а) I и б) II типа

Анализ полученных РЭМ-изображений позволил определить значение глубины травления жертвенного слоя в горизонтальном направлении (5) для всех тестовых структур (для 60 с 5 рассчитано по поперечному сечению участка структуры прилегающего к тестовому элементу (см. рис. 3,г)) и построить ее временную зависимость. Установлено, что она имеет линейный характер (рис. 4). Расчетное усредненное значение скорости травления при этом составило 20 нм/с и 23 нм/с для структур I и II типа, соответственно. Скорость травления может быть увеличена с ростом температуры.

1,5

0,(1-.-.--,—.—,—.—

0 10 20 30 40 50 60

1,С

Рис. 5. Временная зависимость глубины травления в горизонтальном направлении

в буферном растворе

Наличие окон перфорации в структуре может значительно уменьшить время необходимое для полного удаления жертвенного слоя, однако это может снизить чувствительность МЭМС элемента.

Минимальное время, необходимое для удаления имеющегося жертвенного слоя под балкой шириной d можно оценить следующим образом

¿mm = d/2x1Mat,

где rlat - экспериментальная скорость травления в горизонтальном направлении

и для балок шириной 0,5; 1; 1,5; 2; и 2,5 мкм структуры I типа составило 12,5; 25; 37,5; 50 и 62,5 с; для структуры II типа расчетные значения меньше на 13 %.

Заключение. В работе разработана и изготовлена серия тестовых элементов на основе структуры Si*/SiO2/Si, одной из базовых структур технологии поверхностной микрообработки. Получены экспериментальные результаты по жидкостному травлению диоксида кремния в буферном растворе плавиковой кислоты и фторида аммония (40% HF : 40% NH4F = 1:4) в течение 10, 20, 40 и 60 с: установлены зависимость конфигурации профиля травления от ширины балки и времени травления и временная зависимость глубины травления в горизонтальном направлении под балками. Расчетное усредненное значение скорости травления составило 20-23 нм/с.

Предложены два способа вскрытия окон под жидкостное травление, приводящие к различному профилю фронта травления - классическому и нетипичному для жидкостного травления отвесному. Выявлено влияние ФИП-литографии на профиль структурного слоя и конфигурацию профиля травления жертвенного слоя, в том числе в области границы раздела Si*/SiO2. Наблюдаемое различие профиля травления обусловлено переосаждением атомов кремния структурного слоя на фронт травления жертвенного слоя.

Установлено, что ширины балок не оказывает существенного влияние на процесс травления в горизонтальном направлении. Показано, что наличие окон перфорации в структурном слое может значительно уменьшить время необходимое для полного удаления жертвенного слоя, однако это может снизить чувствительность МЭМС элемента. Установлены, режимы, в том числе минимальное время травления, обеспечивающие полное удаление жертвенного слоя для балок различной ширины.

Результаты могут быть использованы при разработке технологических маршрутов и процессов изготовления чувствительных элементов микромеханических гироскопов и акселерометров, кантилеверов и других МЭМС.

Исследование выполнено при финансовой поддержке Министерства образования и науки Российской Федерации в рамках соглашения №14.575.21.0045 (уникальный идентификатор RFMEFI57514X0045), а также проектных частей государственного задания в сфере научной деятельности (Задание № 16.1154.2014/K и Задание №8.797.2014К). Результаты получены с использованием оборудования Центра коллективного пользования и Научно-образовательного центра «Нанотех-нологии» Южного федерального университета.

БИБЛИОГРАФИЧЕСКИЙ СПИСОК

1. Коноплев Б.Г., Лысенко И.Е., Шерова Е.В. Интегральный сенсор угловых скоростей и линейных ускорений // Инженерный вестник Дона. - 2010. - № 3. URL: http://ivdon.ru/ru /magazine/archive/ n3y2010/240 (дата обращения 3.12.2014).

2. Лысенко И.Е. Моделирование двухосевого микромеханического сенсора угловых скоростей и линейных ускорений LR-типа // Инженерный вестник Дона. - 2013. - № 1. URL: http://ivdon.ru/ru/ magazine/archive/n1y2013/1549 (дата доступа 3.12.2014).

3. Урманов Д.М. Концепцией по развитию производства МЭМС-изделий в России на период до 2017 г. // URL: http://www.microsystems.ru/ conf_news.php?id_table=1&file= 155.html (дата обращения 3.12.2014).

4. Bhushan B. Springer Handbook of Nanotechnology. - Heidelberg: Dordrecht: London: New York: Springer, 2010. - 1964 p.

5. Bin T., Satob K., Xia S., Xiea G., Zhanga D., Cheng Y. Process development of an all-silicon capacitive accelerometer with a highly symmetrical spring-mass structure etched in TMAH + Triton-X-100 // Sensors and Actuators. - 2014. - Vol. 1. - P. 105-110.

6. Berman D., Krim J. Surface science, MEMS and NEMS: Progress and opportunities for surface science research performed on, or by, microdevices // Progress in Surface Science.

- 2013. - Vol. 88. - P. 171-211.

7. Hierold C., Hildebrandt A., Na'her U., Scheiter T.,Mensching B.,Steger M., TielertR.A. A pure CMOS surface-micromachined integrated accelerometer. Sensors and Actuators.

- 1996. - Vol. 57. - P. 111-116.

8. Величко Р.В., Гусев Е.Ю., Михно А.С., Бычкова А.С. Исследование режимов плазмохи-мического осаждения пленок нано- и поликристаллического кремния // Фундаментальные исследования. - 2012. - № 11. - С. 1176-1179.

9. Gusev E. Velichko R. Poly- and nanocrystalline silicon films formation by PECVD for micro- and nanodevices. The International Conference "Micro- and Nanoelectronics - 2012" (ICMNE-2012) (Zvenigorod, 1-5 oct., 2012). - Moscow-Zvenigorod: IPT RAS, 2012. - P. 1-46.

10. Dai C-L. A maskless wet etching silicon dioxide post-CMOS process and its application. Microelectronics Engineering. - 2006. - Vol. 83. - P. 2543-2550.

11. Cheng Y-C., Dai C-L., Lee C-Y., Chen P-H., Chang P-Z. A MEMS micromirror fabricated using CMOS post-process. Sensors and Actuators. - 2005. - Vol. 120. - P. 573-581.

12. Huikai X., Fedder G.K., Sulouff R.E. Comprehensive Microsystems: Accelerometers. - Amsterdam: Elsevier B.V., 2008. - P. 136-174.

13. ГусевЕ.Ю., Коломийцев А.С., Житяева Ю.Ю., Гамалеев В.А. Исследование влияния геометрических параметров консольной балки на степень удаления жертвенного слоя // Нанотехнологии в электронике и МЭМС: матер. Международной конф. (Таганрог, 20-25 окт. 2014). - Таганрог: Изд-во ЮФУ, 2014. - С. 91-92.

14. Fujitsuka N., Sakata J. A new processing technique to prevent stiction using silicon selective etching for SOI-MEMS // Sensors and Actuators. - 2002. - Vol. 97. - P. 716-719.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

15. Sniegowski J.J., Boer M.P., IC-Compatible polysilicon surface micromachining // Annual Review of Materials Research. - 2000. - Vol. 30. - P. 299-333.

16. Kirt R.W., Muller R.S. Etch rates for micromachining processing // Journal of microelectromechanical systems. - 1996. - Vol. 5, № 4. - P. 256-269.

17. Bachman M. RCA-1 Silicon wafer cleaning. URL: http:// www.ampel.ubc.ca/nanofab/sop/rca-clean-1.pdf. (access date 8.10.2014).

18. Kern W. Handbook of semiconductor wafer cleaning technology: science, technology, and applications. - Noyes: William Andrew, 1993. - 623 p.

19. Коноплев Б.Г., Агеев О.А. Элионные и зондовые нанотехнологии для микро- и наноси-стемной техники // Известия ЮФУ. Технические науки. - 2008. - № 12 (89). - С. 165-175.

20. Агеев О.А., Коломийцев А.С., Михайличенко А.В., СмирновВ.А., ПташникВ.В., Солодовник М.С., Федотов А.А., Замбург Е.Г., Климин В.С., Ильин О.И., Громов А.Л., Рукомойкин А.В. Полученда наноразмерных структур на основе нанотехнологического комплекса нанофаб НТК-9 // Известия ЮФУ. Технические науки. - 2011. - № 1 (114). - С. 109-116.

21. Агеев О.А., Коломийцев А.С., Коноплев Б.Г. Исследование параметров взаимодействия фокусированных ионных пучков с подложкой // Известия высших учебных заведений. Электроника. - 2011. - № 3 (89). - С. 20-25.

22. Коноплёв Б.Г., Агеев О.А., Коломийцев А.С. Исследование параметров взаимодействия формирование наноразмерных структур на кремниевой подложке методом фокусированных ионных пучков // Известия высших учебных заведений. Электроника. - 2011.

- № 1 (87). - С. 29-34.

23. Агеев О.А., Алексеев А.М., Внукова А.В., Громов А.Л., Коломийцев А.С., Коноплев Б.Г., Лисицын С.А. Исследование разрешающей способности наноразмерного профилирования методом фокусированных ионных пучков // Российские нанотехнологии. - 2014.

- Т. 9, № 1-2. - С. 40-43.

REFERENCES

1. KonoplevB.G., Lysenko I.E., Sherova E.V. Integral'nyy sensor uglovykh skorostey i lineynykh uskoreniy [Integrated sensor of angular velocities and linear accelerations], Inzhenernyy vestnik Dona [Engineering journal of Don], 2010, No. 3. Available at: http://ivdon.ru/ru /magazine/archive/ n3y2010/240 (Accessed 3 December 2014).

2. Lysenko I.E. Modelirovanie dvukhosevogo mikromekhanicheskogo sensora uglovykh skorostey i lineynykh uskoreniy LR-tipa [Modeling dual-axis micromechanical sensor of angular velocities and linear accelerations LR-type], Inzhenernyy vestnik Dona [Engineering journal of Don], 2013, No. 1. Available at: http://ivdon.ru/ru/ magazine/archive/n1y2013/1549 (Accessed 3 December 2014).

3. Urmanov D.M. Kontseptsiey po razvitiyu proizvodstva MEMS-izdeliy v Rossii na period do 2017 g. [Concept development production of MEMS products in Russia for the period up to 2017]. Available at: http://www.microsystems.ru/ conf_news.php?id_table=1&file=155.html (Accessed 3 December 2014).

4. Bhushan B. Springer Handbook of Nanotechnology. - Heidelberg: Dordrecht: London: New York: Springer, 2010, 1964 p.

5. Bin T., Satob K., Xia S., Xiea G., Zhanga D., Cheng Y. Process development of an all-silicon capacitive accelerometer with a highly symmetrical spring-mass structure etched in TMAH + Triton-X-100, Sensors and Actuators, 2014, Vol. 1, pp. 105-110.

6. Berman D., Krim J. Surface science, MEMS and NEMS: Progress and opportunities for surface science research performed on, or by, microdevices, Progress in Surface Science, 2013, Vol. 88, pp. 171-211.

7. Hierold C., Hildebrandt A., Na'her U., Scheiter T.,Mensching B.,Steger M., TielertR.A. A pure CMOS surface-micromachined integrated accelerometer, Sensors and Actuators, 1996, Vol. 57, pp. 111-116.

8. Velichko R.V., Gusev E.Yu., Mikhno A.S., Bychkova A.S. Issledovanie rezhimov plazmokhi-micheskogo osazhdeniya plenok nano- i polikristallicheskogo kremniya [Исследование режимов плазмохимического осаждения пленок нано- и поликристаллического кремния], Fundamental'nye issledovaniya [Fundamental research], 2012, No. 11, pp. 1176-1179.

9. Gusev E. Velichko R. Poly- and nanocrystalline silicon films formation by PECVD for micro- and nanodevices. The International Conference "Micro- and Nanoelectronics - 2012" (ICMNE-2012) (Zvenigorod, 1-5 oct., 2012). Moscow-Zvenigorod: IPT RAS, 2012, pp. 1-46.

10. Dai C-L. A maskless wet etching silicon dioxide post-CMOS process and its application, Microelectronics Engineering, 2006, Vol. 83, pp. 2543-2550.

11. Cheng Y-C., Dai C-L., Lee C-Y., Chen P-H., Chang P-Z. A MEMS micromirror fabricated using CMOS post-process, Sensors and Actuators, 2005, Vol. 120, pp. 573-581.

12. Huikai X., Fedder G.K., Sulouff R.E. Comprehensive Microsystems: Accelerometers. Amsterdam: Elsevier B.V., 2008, pp. 136-174.

13. Gusev E.Yu., Kolomiytsev A.S., Zhityaeva Yu.Yu., Gamaleev V.A. Issledovanie vliyaniya geometricheskikh parametrov konsol'noy balki na stepen' udaleniya zhertvennogo sloya [The influence of the geometric parameters of the cantilever beam on the degree of removal of the sacrificial layer], Nanotekhnologii v elektronike i MEMS: mater. Mezhdunarodnoy konf. (Taganrog, 20-25 okt. 2014) [Nanotechnology in electronics and MEMS: proceedings of the International conference (Taganrog, 20-25 Oct. 2014)]. Taganrog: Izd-vo YuFU, 2014, pp. 91-92.

14. Fujitsuka N., Sakata J. A new processing technique to prevent stiction using silicon selective etching for SOI-MEMS, Sensors and Actuators, 2002, Vol. 97, pp. 716-719.

15. Sniegowski J.J., Boer M.P., IC-Compatible polysilicon surface micromachining, Annual Review of Materials Research, 2000, Vol. 30, pp. 299-333.

16. Kirt R.W., Muller R.S. Etch rates for micromachining processing, Journal of microelectromechanical systems, 1996, Vol. 5, No. 4, pp. 256-269.

17. Bachman M.RCA-1 Silicon wafer cleaning. Available at: http:// www.ampel.ubc.ca/ nanofab/sop/rca-clean-1.pdf. (Accessed 8 October 2014).

18. Kern W. Handbook of semiconductor wafer cleaning technology: science, technology, and applications. Noyes: William Andrew, 1993, 623 p.

19. Konoplev B.G., Ageev O.A. Elionnye i zondovye nanotekhnologii dlya mikro- i nanosi-stemnoy tekhniki [Focused ion beams and probe nanotechnologies for micro- and nanosystem hardware], Izvestiya YuFU. Tekhnicheskie nauki [Izvestiya SFedU. Engineering Sciences], 2008, No. 12 (89), pp. 165-175.

20. Ageev O.A., Kolomiytsev A.S., Mikhaylichenko A.V., Smirnov V.A., Ptashnik V.V., Solodovnik M.S., Fedotov A.A., Zamburg E.G., Klimin V.S., Win O.I., Gromov A.L., Rukomoykin A.V. Poluchenie nanorazmernykh struktur na osnove nanotekhnologicheskogo kompleksa nanofab NTK-9 [Nanoscale structures' production based on modular nanotechnologycal platform nanofab], Izvestiya YuFU. Tekhnicheskie nauki [Izvestiya SFedU. Engineering Sciences], 2011, No. 1 (114), pp. 109-116.

21. Ageev O.A., Kolomiytsev A.S., Konoplev B.G. Issledovanie parametrov vzaimodeystviya fokusirovannykh ionnykh puchkov s podlozhkoy [The study of the interaction parameters of the focused ion beam to the substrate], Izvestiya vysshikh uchebnykh zavedeniy. Elektronika [Proceedings of universities. Electronics], 2011, No. 3 (89), pp. 20-25.

22. Konoplev B.G., Ageev O.A., Kolomiytsev A.S. Issledovanie parametrov vzaimodeystviya formirovanie nanorazmernykh struktur na kremnievoy podlozhke metodom fokusiro-vannykh ionnykh puchkov [The study of the interaction parameters the formation of nanoscale structures on a silicon substrate using focused ion beams], Izvestiya vysshikh uchebnykh zavedeniy. Elektronika [Proceedings of universities. Electronics], 2011, No. 1 (87), pp. 29-34.

23. Ageev O.A., Alekseev A.M., Vnukova A.V., Gromov A.L., Kolomiytsev A.S., Konoplev B.G., Lisitsyn S.A. Issledovanie razreshayushchey sposobnosti nanorazmernogo profilirovaniya metodom fokusirovannykh ionnykh puchkov [The study nanoscale resolution profiling using focused ion beams], Rossiyskie nanotekhnologii [Russian nanotechnology], 2014, Vol. 9, No. 1-2, pp. 40-43.

Статью рекомендовал к опубликованию д.ф.-м.н., профессор А. А. Лаврентьев.

Гусев Евгений Юрьевич - Южный федеральный университет; e-mail: eyugusev@sfedu.ru; 347928, г. Таганрог, ул. Шевченко, 2, корп. Е; тел.: +78634371611; кафедра нанотехнологий и микросистемной техники; к.т.н.; доцент.

Житяева Юлия Юрьевна - e-mail: julia.jityaeva@gmail.com; кафедра нанотехнологий и микросистемной техники; аспирантка.

Коломийцев Алексей Сергеевич - e-mail: askolomiytsev@sfedu.ru; кафедра нанотехнологий и микросистемной техники; к.т.н.; доцент.

Гамалеев Владислав Анатольевич - e-mail: vlad.gamaleev@gmail.com; кафедра нанотех-нологий и микросистемной техники; аспирант.

Коц Иван Николаевич - e-mail: vanya.kots1992@gmail.com; кафедра нанотехнологий и микросистемной техники; магистрант.

Быков Александр Викторович - ФГУП «НИИ физических проблем им. Ф.В. Лукина»; e-mail: admin@niifp.ru; 124460, г. Москва, Зеленоград, проезд 4806, дом 6; тел.:+74997311306; заместитель директора.

Gusev Evgeny Yurievich - Southern Federal University; e-mail: eyugusev@sfedu.ru; 2, Shevchenko street, building E, Taganrog, 347928, Russia; phone: +78643371611; the department of nanotechnology and microsystem technics; cand. of eng. sc.; associate professor.

Jityaeva Juliya Yurievna - e-mail: julia.jityaeva@gmail.com; the department of nanotechnology and microsystems technology; postgraduate student.

Kolomiytsev Alexey Sergeevich - e-mail: askolomiytsev@sfedu.ru; the department of nanotech-nologies and microsystems technology; cand. of eng. sc.; associate professor.

Gamaleev Vladislav Anatolyevich - e-mail: vlad.gamaleev@gmail.com; the department of nano-technologies and microsystems technology; postgraduate student.

Kots Ivan Nikolaevich - e-mail: vanya.kots1992@gmail.com; the department of nanotechnolo-gies and microsystems technology; undergraduate student.

Bykov Alexander Victorovich - F.V. Lukin State Research Institute of Physical Problems; e-mail: admin@niifp.ru; Building 6, Passage 4806, Zelenograd, Moscow, 124460, Russia; phone: +74997311306; deputy head.

i Надоели баннеры? Вы всегда можете отключить рекламу.