Научная статья на тему 'ИСПОЛЬЗОВАНИЕ МЕТОДОВ ЭКСПЕРИМЕНТАЛЬНОГО И ЧИСЛЕННОГО МОДЕЛИРОВАНИЯ ДЛЯ ИССЛЕДОВАНИЯ ПРОЦЕССА СУХОГО ТРАВЛЕНИЯ КАНАВОК В КРЕМНИИ'

ИСПОЛЬЗОВАНИЕ МЕТОДОВ ЭКСПЕРИМЕНТАЛЬНОГО И ЧИСЛЕННОГО МОДЕЛИРОВАНИЯ ДЛЯ ИССЛЕДОВАНИЯ ПРОЦЕССА СУХОГО ТРАВЛЕНИЯ КАНАВОК В КРЕМНИИ Текст научной статьи по специальности «Химические технологии»

CC BY
42
14
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ФИЗИКО-ХИМИЧЕСКАЯ МОДЕЛЬ / ПРОЦЕСС СУХОГО ТРАВЛЕНИЯ / ПРОФИЛЬ ТРАВЛЕНИЯ / ПЛАЗМА

Аннотация научной статьи по химическим технологиям, автор научной работы — Галперин Вячеслав Александрович

Описана физико-химическая модель травления кремния, приведен расчет профиля травления методом струны, рассмотрена адекватность модели. Проанализированы результаты моделирования процесса травления канавок в зависимости от изменения параметров проведения оптимизации процесса.A physical-chemical model of silicon etching and the profile calculation by the string method have been described, the model adequacy has been considered. The results of the trench process simulation depending on variation of the parameters in the process optimization execution have been analyzed.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по химическим технологиям , автор научной работы — Галперин Вячеслав Александрович

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «ИСПОЛЬЗОВАНИЕ МЕТОДОВ ЭКСПЕРИМЕНТАЛЬНОГО И ЧИСЛЕННОГО МОДЕЛИРОВАНИЯ ДЛЯ ИССЛЕДОВАНИЯ ПРОЦЕССА СУХОГО ТРАВЛЕНИЯ КАНАВОК В КРЕМНИИ»

УДК 533.932.12.915

Использование методов экспериментального и численного моделирования для исследования процесса сухого травления канавок в кремнии

В.А.Галперин

Московский государственный институт электронной техники (технический университет)

Описана физико-химическая модель травления кремния, приведен расчет профиля травления методом струны, рассмотрена адекватность модели. Проанализированы результаты моделирования процесса травления канавок в зависимости от изменения параметров проведения оптимизации процесса.

Ключевые слова: физико-химическая модель, процесс сухого травления, профиль травления, потоки частиц, плазма.

Наиболее оптимальным методом разработки новых технологий и процессов является моделирование. Данная область активно развивается с целью подробного изучения и дальнейшей оптимизации параметров процессов. Основным преимуществом всех методов сухого травления является возможность прецизионного травления материала в направлении, перпендикулярном его поверхности, с минимальным уходом критических размеров от значений, заданных фоторезистом или другими маскирующими материалами. Высокая степень анизотропии необходима для переноса топологического изображения с высоким разрешением на нижележащие функциональные слои, требующиеся для создания СБИС и УБИС.

Цель настоящей работы - изучение физико-химических параметров процесса сухого травления (в модификации реактивно-ионного травления (РИТ)) кремния в смеси НБг/8Б6/О2 и использование численного и экспериментального моделирования процесса РИТ канавок в кремнии в этой же смеси газов для оптимизации процесса с учетом требований разработчиков изделий микро- и наноэлектроники. Процесс травления в плазме HBr/SF6/O2 относится к разряду процессов сухого анизотропного травления с образованием пассивирующего слоя на боковых стенках рельефа, близкого по структуре к ЗьОу. Энергия активации процесса травления данного слоя радикалами фтора значительно выше, чем кремния, что обеспечивает анизотропию травления. Предпринята попытка получения качественно новых результатов процесса травления кремния на основе сочетания численного моделирования, данных по диагностике плазмы и экспериментального моделирования. Необходимые для численного моделирования экспериментально недоступные кинетические параметры определялись по разработанной методике сравнением экспериментально полученных профилей травления с численными расчетами в соответствии с моделью процесса травления.

Методика проведения эксперимента. Все эксперименты по плазменному травлению проведены на установке «Каролина 15» фирмы «ЭСТО-вакуум», оснащенной шлюзовой загрузкой образцов и индукционным источником плазмы высокой плотности с возможностью дополнительного магнитного удержания газового разряда высокой

© В.А.Галперин, 2011

плотности. На плоский индукционный электрод, введенный внутрь рабочей камеры и защищенный кварцевым экраном, подается через согласующее устройство ВЧ-мощность частотой 13,56 МГц. Подложки размещаются на термостабилизируемом подложкодержателе (5-50 °С) с подачей Не под пластину и удержанием пластин с помощью механического кольцевого прижима. Стенки камеры нагреваются до температуры 60 °С. Используются следующие методы диагностики для получения внутренних параметров проводимых процессов: масс-спектрометрия; зондовый метод для определения плотности плазмы в объеме; оптическая эмиссионная спектроскопия (ОЭС); зондовый метод для измерения потока ионов на подложку с размещением зонда на подложкодержателе.

Масс-спектрометрия используется для мониторинга концентрации стабильных частиц. Относительные концентрации известных нейтралов, таких как F и O, оцениваются ОЭС в сочетании с актинометрией [1]. С этой целью добавляется до 5 об.% Ar по отношению ко всей рабочей смеси. Отслеживаются следующие длины волн: 704 нм (F*); 844 нм (O*); 750 нм (Ar*). Зонд для измерения ионного потока имеет малую площадь (не более 1 мм ) для исключения влияния на распределение общего потока и на него через ВЧ-фильтр-пробку подается отрицательный потенциал относительно потенциала «земли».

Для экспериментов используются кремниевые подложки диаметром 150 мм с топологией канавок различных линейных размеров и маской SiO2 толщиной 800 нм. Маска SiO2 формируется травлением на установке LAM 4500 с реактором РИТ и расщепленным ВЧ-питанием (подается попеременно на верхний и нижний электроды) частотой 400 кГц в смеси CHF3 /CF4/Ar через фоторезистивную маску с последующим удалением ее в плазме O2. Площадь вскрытия Si не превышает 3%, профиль травления канавки оценивается на РЭМ. По тестовым образцам при заданных параметрах процесса определяются средняя скорость травления в центре канавки, селективность и величина ухода под маску. При этом фиксируются с помощью методов диагностики такие параметры, как величина ионного потока, напряжение ВЧ-смещения, концентрация F и отношение F/O.

Методика оценки кинетических параметров. Для определения кинетических параметров потравлены три образца в течение 120 с каждый при условии протекания сухого химического травления при разных давлениях: 10, 25, 75 мТорр (ВЧ-мощность, подаваемая в антенну, составляла 800 Вт, мощность на подложкодержатель не подавалась; расход SF6 составлял 95 см3/мин). Установлено, что при давлении 75 мТорр формируется наиболее изотропный профиль травления с максимальным уходом под маску. Используя данные ОЭС, значения газовых потоков при давлении 75 мТорр, уравнение для скорости сухого химического травления и измеряя полученные профили травления, определялись основные кинетические параметры.

Описание физико-химической модели процесса травления. Для нахождения локальной скорости травления при численном моделировании необходимо определить набор частиц, участвующих в процессе, величины их потоков и механизмы взаимодействия с поверхностью материала, подвергаемого травлению [2-5]. Основные процессы на поверхности кремния можно описать, учитывая три сорта частиц:

- ионы и высокоэнергетичные рекомбинированные частицы, лишенные заряда в результате столкновений с другими частицами (далее ионы);

- химически активные радикалы, молекулы и атомы;

- пассивирующие радикалы, молекулы, полимеры.

Ионы, разгоняясь в электрическом поле темного пространства разряда, бомбардируют поверхность. В зависимости от уровня средней энергии ионы могут физически распылять поверхность, химически взаимодействовать с кремнием, стимулировать химические реакции на поверхности и десорбировать частицы с поверхности. Ионы могут рекомбинировать, сохраняя высокую кинетическую энергию.

Нейтральные низкоэнергетичные химически активные частицы считаются равномерно распределенными по углам с максвелловским распределением по энергиям. Эти частицы, адсорбируясь на поверхности, могут взаимодействовать с подложкой как спонтанно (Б), так и под действием ионной бомбардировки (Бг).

Пассивирующие частицы не вступают в химическую реакцию с материалом подложки и занимают адсорбционный центр. Под действием потока ионов эти частицы могут быть десорбированы с освобождением этого центра. Пассивирующие частицы типа атомарного кислорода О могут также вступать в химическую реакцию с активированной поверхностью кремния или кремнийсодержащих пленок и формировать хемосорб-ционный слой, блокирующий взаимодействие химически активных частиц с кремнием при условии отсутствия заметной ионной бомбардировки.

В табл.1 представлены основные процессы взаимодействия рассматриваемых частиц с поверхностью во время травления.

Таблица1

Основные процессы взаимодействия частиц с поверхностью и соответствующие им коэффициенты [6]

Процессы Активные Пассивирующие Ионы

радикалы радикалы

Адсорбция Яе sp -

Десорбция:

- спонтанная k4p -

- под действием ионов к2е k2p -

Травление:

- спонтанное къе - -

- под действием ионов к\е - Y

Диффузия по поверхности Ое Dv -

Отражение от поверхности:

- зеркальное - - +

- диффузное (косинусное) + + +

- изотропное (упругое

диффузное) + + -

- зеркально-диффузное - - +

Из-за наличия градиентов плотности прилипших частиц вдоль профиля возможна диффузия по поверхности прилипших радикалов. Кроме того, частицы, падающие на поверхность, могут отразиться от этой поверхности. Закон отражения нейтральных частиц, как показано в работах [2, 5], при комнатных температурах описывается косинусным законом Кнудсена. Рассеяние ионов описывается смешанным зеркально-диффузным законом отражения, причем в широком интервале энергий и материалов вероятность отражения описывается полуэмпирическим соотношением.

Построение алгоритма. Анизотропный процесс РИТ определяется потоком химически активных радикалов Яе, пассивирующих радикалов Яр и ионов I, для которых можно записать уравнение баланса частиц на поверхности:

ъе-± = (1 -- (к1е + к2е) • I -ее, (1а)

т

Ъ р-^Г = (1 -®>рКр - к2 Р • I ^ Р, (1б)

е = бе+е р,

14 —2.

где се,р - число свободных связей на поверхности, для Si(100) ое = ор = 6,8610 см [6]; 0ер - степень заполнения активными и пассивирующими частицами; - коэффициент прилипания; I - поток ионов.

В данной модели источником атомов F в области травления является прямая адсорбция на поверхности, а потеря F проходит путем десорбции летучих продуктов типа либо промежуточного продукта SiF2. Известно, что вероятность F-F рекомбинации на поверхности кремния составляет менее 0,05, и этой рекомбинацией можно пренебречь [6]. Для квазистационарного состояния на поверхности выражение для степени покрытия поверхности кремния фтором упрощается и имеет вид:

0F =

-1-1

! , kGs, | 27SlIр

Y F rf Y F RF .

(1в)

где Яр - поток атомов фтора; ур - коэффициент прилипания фтора; 1р - поток ионов фтора.

Система уравнений (1а)-(1в) должна быть дополнена уравнениями для потоков Яр, Яо и 1Р. Поток ионов и радикалов в точку В с учетом отражения от поверхности канавки можно найти из решения интегральных уравнений [5]:

IР (В)=Iр (в)+{ (1 - 5, )Р( в, в') IР (в')-1, (2)

я

Яр,о (В) = ЯР,о (В) +11[{1 - (1 - е(В'))5Р,о К,о (В') + ^^еР,оI(В')]Р(В, В')-1, (3) 2 т

где интегрирование проводится по двумерному поперечному сечению профиля канавки Я; Р(В, В') - плотность вероятности попадания частицы из точки В' профиля в точку В (рис.1); !р (В) - поток ионов из газовой фазы в точку В; ^ - коэффициент прилипания ионов к поверхности.

Скорость травления утр в точке В в плазме 8Б6 определяется как [7-9]

1

i

Psi

^Si 0f(5)

V™(B) =--Sl + YsiIf(B) + YsiP IF(B)0F(B) I, (4)

где pSi - атомная плотность материала подложки; х - число активных частиц в продукте реакции травления; KR - коэффициент химической реакции. Первый член в уравнении (4) отвечает за химическое травление активными радикалами F , второй -

за физическое распыление и последний - за ионно-стимулированное травление поверх-# *

ности Si, покрытой активными радикалами F .

Согласно уравнению Аррениуса константа скорости реакции имеет вид

Кк = Ае кт,

где А - предэкспоненциальный фактор (порядка 10 с- ); Еа - энергия активации; Я - газовая константа; Т - температура частиц в газовой фазе.

Распределение падающих и отраженных ионов по энергиям и углам. Сложные ионно-стимулированные физические реакции с ионами и реакции с нейтралами, имеющие место на поверхности, проявляются в выходе продуктов реакции при травлении, т.е. в количестве атомов подложки, распыленных одним ионом, ударившимся о поверхность. Количество распыленных атомов пропорционально разнице корня квадратного от энергии иона Е; и корня квадратного от пороговой энергии Еменьше которой ионы не распыляют частицы с поверхности. Наиболее широко используемая формула для расчета записывается следующим образом [3, 5]:

г е , Ф)=А(4Ё)/(Ф),

где А - константа пропорциональности; Ег - энергия иона; Хф) - функция распределения ионов по углам к нормали поверхности. Функция _Дф) описывается распределением Гаусса:

Рис.1. Схематическое изображение поперечного сечения структуры: а, р - углы, образованные отрезком BB' с нормалями к поверхности канавки; rBB - длина отрезка BB'; dl' - дифференциал длины дуги профиля в точке B'; dl± = dl cos р - проекция dl' на окружность с центром в точке B радиусом rBB; d(p = dl± / rBB' - угол, под которым dl' «виден» из точки B

f (Ф) =

1

2e2

5

со средним угловым отклонением о, определяемым выражением:

(

= arctg

.V

E

Л

у

где ф - угол падения иона на поверхность; к - константа Больцмана; Т - температура ионов. Тепловую энергию ионов Ег обычно принимают равной 0,2-0,5 эВ. При кТ = 0,2 эВ и Е; = 90 эВ О; = 2,7°. В случае, когда приложено смещение на подложкодержатель, энергия ионов увеличивается и среднее угловое отклонение уменьшается.

При определении влияния угловой зависимости падающих ионов на количество выбитых атомов рассматриваются три возможных случая, которые показаны на рис.2 (кривые 1-3). Количество распыленных атомов при ионно-стимулированном травлении может иметь максимум, резко спускающийся вниз, как при физическом распылении (см. рис.2, кривая 1), может быть постоянным и при некотором определенном угле начинает снижаться (см. рис.2, кривая 2), может полностью не зависеть от угла падения (см. рис.2, кривая 3). В данной работе с учетом получения незначительной ошибки взят за основу третий случай.

2

Ф

Для моделирования процесса эволюции профиля используется метод струны как наиболее приемлемый с точки зрения минимизации времени машинного расчета и адекватности получаемого профиля. Согласно данному методу, поверхность профиля разбивается на узлы, которые связаны линейными отрезками. Расстояния между узлами на начальном этапе задаются одинаковыми и равны Ь. Максимальное расстояние между узлами в процессе травления Ьтах = Ь + Ш, а минимальное ЬШт = Ь - й1. Время травления делится на конечные интервалы Ж. Процесс травления реализуется по шагам.

В процессе развития профиля возможно образование узлов с анормальным профилем. Причиной появления узлов является большой интервал времени &, при котором для рассчитанной скорости Утр происходит перемещение узлов на такое расстояние, что отрезки, соединяющие эти узлы, пересекаются. Следовательно, для предупреждения появления петель необходимо уменьшать &, но это приведет к увеличению времени обсчета профиля. Поэтому применялся следующий способ: через определенное время (интервал сглаживания), задаваемое в программе, проводится сглаживание профиля. В результате сглаживания происходит уменьшение количества узлов профиля за счет отбрасывания узлов, составляющих петли. Таким образом, удается добиться стабильной эволюции профиля без увеличения количества вычислений.

Результаты расчета и их обсуждение. С помощью программы эволюции профиля проведено моделирование процесса глубокого травления кремния через маску термического оксида кремния в высокоплотной плазме смеси газов. В табл.2 представлены некоторые коэффициенты и параметры, взятые из [7-9] и полученные экспериментально.

Таблица 2

Значения параметров, использованных при расчете

Параметр Состав газовой смеси

35 см /мин 45 см /мин 02

0 см3 /мин НВг 20 см3/мин НВг 40 см3 /мин НВг

Поток атомов фтора Яр, см1 • с-1 5,5 -1018 4,5-1018 4 -1018

Коэффициент прилипания атомов фтора ^ 0,7 0,7 0,7

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Химическая константа травления, -2 -1 см • с 3-1017 3-1017 3-1017

Поток ионов I, см-2 • с-1 1-1016 1-1016 1-1016

Температура ионов, эВ 0,1 0,1 0,1

Поток атомов брома ЯВг, см-2 • с-1 0 1-1018 2 -1018

Коэффициент прилипания брома 5Вг 0 0, 1 0, 1

Поток кислорода, см-2 • с-1 3-1017 4 -1017 4 -1017

Коэффициент прилипания

кислорода 50 1 1 1

Проведена оценка влияния параметров плазмы (угла расходимости ионного потока, соотношения активной и пассивирующей компонент, плотности плазмы) газовой смеси ББб и ИБг (активная компонента) с кислородом 02 (пассивирующая компонента), температуры, подаваемой ВЧ-мощности и рабочего давления на геометрические параметры получаемых структур.

Температура подложки в первую очередь влияет на селективность процесса (кремний-маска), а также в небольшой степени - на скорость протекания химических реакций или скорость плазмохимического травления. При изменении температуры ниже 20 °С селективность процесса увеличивается, а скорость плазмохимического травления незначительно падает. При дальнейшем понижении температуры процесса заметен рост селективности, сопровождающийся плавным падением скорости травления с 2,3 до 2,1 мкм/мин при 20 и 5 °С соответственно. Таким образом, для сохранения высокой селективности процесс глубокого травления кремния необходимо проводить при температуре порядка 5 °С.

Анизотропия процесса определяется в основном соотношением компонентов газовой смеси и углом расходимости ионного потока. Угол расходимости зависит от подаваемой ВЧ-мощности и соотношения активной и пассивирующей компонент плазмы. При малом значении (до 2°) угол расходимости ионного потока не оказывает существенного влияния на профиль кремниевой канавки, однако увеличение угла расходимости до 5° приводит к образованию микробороздок на дне канавки. Для получения профиля с наименьшим искажением геометрической формы при сохранении высокой селективности и скорости травления необходимо выявить оптимальное соотношение между ионным потоком и соотношением активной и пассивной компонент газовой смеси.

Увеличение ионного потока приводит к увеличению скорости травления, но при этом на дне канавки возможно появление микробороздок. Для сохранения высокой селективности и скорости травления при увеличении ионного потока необходимо повышать число активных и пассивирующих частиц в газовом потоке, сохраняя при этом оптимальное соотношение между ними. Искажение профиля происходит вблизи дна канавки и на границе кремний-маска из-за различного соотношения активной и нейтральной компонент газовой смеси в этих объемных частях канавки. Поэтому своевременная доставка активных частиц к поверхности и ее очистка от продуктов реакции вносит решающий вклад при создании профиля правильной геометрической формы. Для этого необходимо поддерживать оптимальное соотношение активной и пассивной компонент рабочей смеси газов по всей глубине канавки. Примеры искажения профилей травления при моделировании приведены на рис.3.

а б в

Рис.3. Примеры искажения профилей травления при моделировании: а - преобладание активных частиц на дне канавки; б - преобладание пассивирующих частиц на дне канавки; в - увеличение угла расходимости ионного потока при повышении ВЧ-мощности, подаваемой на подложку

При проведении моделирования процесса травления кремния на большую глубину (рис.4) подобраны оптимальные параметры, позволяющие получить канавку заданного профиля (ровные стенки и скругленное дно) при сохранении высоких технологических характеристик. Оптимальные параметры моделирования следующие:

Поток ионов (ion flux).....................................................................1,5-1016 см-2-с-1

Угол расходимости ионного потока (angle of ion flux)................Не более 2o

Поток пассивирующих частиц (neutral flux).................................1-10 см- -с-

Поток активных частиц (active flux)..............................................5,5-1018 см-2-с-1

Температура подложки (wafer temp.).............................................5 oc

Рис.4. Примеры оптимальных профилей травления при моделировании в течение 5 мин: а - через окно в маске размером 2x4 мкм; б - через окно в маске размером 4x4 мкм

На рис.5 приведены результаты экспериментального травления канавок, полученных при оптимальных режимах.

а б

Рис.5. Результаты травления канавок в кремнии при оптимальных режимах: а - рабочее давление 15 мТорр; время травления 5 мин 30 с; Утр = 2,36 мкм/мин; б - рабочее давление 25 мТорр; время травления 4 мин 35 с; Утр = 2,15 мкм/мин

Таким образом, показана возможность оптимизации параметров, заложенных в физико-химической модели травления кремния, и определены связи между технологическими и физико-химическими параметрами процесса травления. Оптимизация процесса травления канавок на экспериментальной установке позволяет достичь высоких технологических характеристик. Модель адекватно отражает основные варианты травления канавок в кремнии.

Литература

1. Express time-resolved emission actinometric measurement of the kinetic parameters of plasmachem. Reactions in an RF discharge / M.K.Abachev, V.A.Galperin, E.F.Shelyhmanov et al. // Russian Microelectronics. - 1997. - Vol. 26, N 3. - P. 192-196.

2. Данилин Б.С., Киреев В.Ю. Применение низкотемпературной плазмы для травления и очистки материалов. - М.: Энергоатомиздат, 1987. - 264 с.

3. Данилин Б.С., Киреев В.Ю. Ионное травление микроструктур. - М.: Сов. радио, 1979. - 149 с.

4. Данилин Б.С., Киреев В.Ю., Кузнецов В.И. Плазмохимическое и ионно-химическое травление микроструктур. - М.: Энергоатомиздат, 1983. - 120 с.

5. Плазменная технология в производстве СБИС / Под. ред. Н.Айнспрука и Д.Брауна. - М.: Мир, 1987. - 469 с.

6. Lukichev V.F. A new approach to aspect ratio independent etching // Microelectronic Engineering. -1998. - Vol. 41/42. - P. 423-426.

7. Feature-scale model of Si etching in SF6 plasma and comparison with experiments / R. Jun Belen, S.Gomez, D.Cooperberg et al. // J.Vac. Si. Technol. - Jan/Feb 2005. - A 23(1). - P. 99-113.

8. Feature-scale model of Si etching in SF6/O2 plasma and comparison with experiments / R. Jun Belen, S.Gomez, D.Cooperberg et al. // J.Vac. Si. Technol. - Sep/Oct 2005. - A 23(5). - P. 1430-1439.

9. Feature-scale model of Si etching in SF6/O2/HBr plasma and comparison with experiments / R. Jun Belen, S.Gomez, D.Cooperberg et al. // J.Vac. Si. Technol. - Mar/Apr 2006. - A 24(2). P. 350-361.

Статья поступила 21 октября 2010 г.

Галперин Вячеслав Александрович - кандидат технических наук, начальник лаборатории перспективных процессов НПК «Технологический центр» МИЭТ. Область научных интересов: современные плазменные технологии и системы обработки, технологии микро- и наноэлектроники, солнечная энергетика. E-mail: V.Galperin@tcen.ru

Информация для читателей журнала «Известия высших учебных заведений. Электроника»

Вы можете оформить подписку на 2011 г. в редакции с любого номера. Стоимость одного номера - 700 руб. (с учетом всех налогов и почтовых расходов).

Адрес редакции: 124498, Москва, Зеленоград, проезд 4806, д. 5, МИЭТ, комн. 7232. Тел./факс: 8-499-734-62-05. E-mail: magazine@miee.ru http://www.miet.ru/ structure/s/894/e/12152/191

i Надоели баннеры? Вы всегда можете отключить рекламу.