Научная статья на тему 'Оптимизация разрядности данных для заданного качества вейвлет-преобразования Добеши'

Оптимизация разрядности данных для заданного качества вейвлет-преобразования Добеши Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
246
56
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Хаханова Ирина Витальевна

Выполняется анализ зависимости сохранения качества изображения от разрядности коэффициентов и данных для двумерного DWTпреобразования Добеши 9/7. С учетом полученных результатов выбрана оптимальная конфигурация параметров VHDL-модели арифметического блока.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Optimization of the data width to achieve necessary quality of the Daubechies wavelet transform

Analysis of the image quality keeping versus coefficients and data width for 2D Daubechies DWT was implemented. Optimal setting configuration that depends on received result was used for VHDL model of the arithmetic block design. The VHDL model with different configurations was implemented on Xilinx FPGA for hardware size and frequency analysis.

Текст научной работы на тему «Оптимизация разрядности данных для заданного качества вейвлет-преобразования Добеши»

КОМПЬЮТЕРНАЯ ИНЖЕНЕРИЯ И ТЕХНИЧЕСКАЯ ДИАГНОСТИКА

УДК519.713:681.326

ОПТИМИЗАЦИЯ РАЗРЯДНОСТИ ДАННЫХ ДЛЯ ЗАДАННОГО КАЧЕСТВА ВЕЙВЛЕТ-ПРЕОБРАЗОВАНИЯ ДОБЕШИ

ХАХАНОВА И.В.

имеет ряд преимуществ перед классической структурой вейвлет-преобразования [1,2].

Лифтинговый подход вейвлет-преобразования основан на поиске взаимоотношений между парой фильтров ho и h1, которые являются дополнительными -определитель матрицы, образованной этими фильтрами, равен нулю. Упомянутую пару фильтров можно получить используя следующие соотношения:

h0ew (z) = ho (z) + h1 (z) X s(z2);

hfew (z) = h1 (z) + h0(z) x t(z2),

где s(z2) и t(z2) - полиномы Лорена [1,2] соответственно.

Метод построения лифтинговой схемы (рис. 1) основан на четырех базовых операциях: разбиение, предсказание, обновление и масштабирование.

Выполняется анализ зависимости сохранения качества изображения от разрядности коэффициентов и данных для двумерного DWT- преобразования Добеши 9/7. С учетом полученных результатов выбрана оптимальная конфигурация параметров VHDL-модели арифметического блока.

Введение

Большинство работ [1-5], посвященных DWT-преобразованию, представляет собой теоретические разработки на уровне алгоритмов, которые не учитывают особенностей их аппаратной реализации. В лучшем случае рассматривается только программная реализация [4, 5] преобразования. Актуальность работы связана с необходимостью выбора оптимальной разрядности представления коэффициентов DWT (Disctete Wavelet Tramsform) -преобразования Добеши 9/7, промежуточных данных и конечных результатов. Это позволит создать устройство с минимальными аппа-р атурными затратами при сохранении нео бходимого и достаточного качества изображения , определяемого далее.

1. Лифтинговые схемы реализации DWT-преобразований

В своих основополагающих опубликованных исследованиях И. Добеши и В. Свелден показали, что каждое вейвлет-преобразование, формируемое с помощью КИХ (конечная импульсная характеристика) -фильтров, может быть получено за конечное число шагов лифтинговой схемы [1- 6]. Ее использование

Операция разбиения делит входной сигнал на четные и нечетные элементы:

s(0) = x2i; d(0) = X2i+1 .

Значения предсказания (dn) и обновления (sn) на каждом этапе преобразования вычисляются по формулам:

d(n) = d(n_1) +ZPn(k)skn_1),n є [1,2,...,N]; k

s(n) = s(n_1) +Z Un(k)dkn),n є [1,2,..., N], * (1)

k

где Pn и Un - коэффициенты лифтинга для этапов предсказания и обновления.

Завершающим этапом использования лифтинговой схемы DWT является процедура масштабирования, которая формирует окончательный результат вейвлетпреобразования в форме высокочастотного и низкочастотного выходных значений. Для этого результат N-го этапа предсказания (dN) умножается на коэффициент масштабирования Кц а N-го этапа обновления (sN) - на К0 соответственно (см. рис. 1).

Каждое вейвлет-преобразование может быть задано с помощью банка фильтров (hto(z)- низкочастотный фильтр, ht1(z) - высокочастотный). Далее рассматривается DWT Добеши 9/7, приведенного в стандарте JPEG2000 [4,6], коэффициенты которого имеют следующие значения:

Рис.1. Лифтинговая схема вейвлет-анализа: разбиение, предсказание и обновление

42

РИ, 2007, № 3

h0(z) =

= (0.602949018236; 0.266864118443 (z1 + z-1);

- 0.078223266529 (z2 + z-2); -0.016864118443(z3+z-3); 0.026748757411(z4 +z-4)).

hj(z) =

= (0.557543526229; -0.295635881557 (z1 +z-1); -0.028771763114(z2+z-2); 0.045635881557(z3+z-3)).

K0 = K K1 = f; (8)

где K = 1.230174104914001.

(2) Преимуществом использования лифтинговой схемы трансформирования изображения является одинаковая вычислительная архитектура прямого (0’^Г)(см. рис. 1) и обратного (IDWT ) преобразования, представленого на рис. 3. Соответствующие формулы вычисления этапов предсказания и обновления для обратного вейвлет-преобразования имеют следующий вид:

(3)

d(n 1) = d(n) +zPn(k)skn),nє [1,2,...,N]; k

Для банка фильтров Добеши 9/7 число этапов преобразования и предсказания, представленное в (1), равно двум (N=2), что соответствует четырехступенчатой лифтинговой схеме вейвлет-преобразования (рис. 2) [5]. На основе коэффициентов банка фильтров (2) и (3) формируются коэффициенты лифтинга [1,2], используемые также в стандарте JPEG2000 [4 - 8]:

P1 = -1.586134342_059924(1+z) ; (4)

U1 = - 0.052980118572961(1+z-1); (5)

P2 = 0.882911075530934(1+z); (6)

U2 =0.443506852043971(1+z-1); (7)

s(n 1) = s(n) +ZUn(k)dkn),n є [1,2,...,N].

k

Здесь Pn и Un совпадают с коэффициентами прямого преобразования (4)-(8). Перед началом IDWT- преобразования выполняется процедура обратного масштабирования.

2. Вычисление погрешности преобразования данных

Не существует универсального метода для измерения потери качества изображения после применения алгоритмов сжатия данных. Для объективного измерения качества сигналов широко используются: средне-

Рис. 3. Лифтинговое преобразование для операции синтеза

X

РИ, 2007, № 3

43

квадратическая ошибка (RMSE - root mean square error или MSE - Mean Squared Error); соотношение сигнал-шум (SNR - Signal To Noise Ratio) или пиковое соотношение сигнал-шум (PSNR - Peak Signal To Noise Ratio) [4, 9,10]. Единицей измерения SNR и PSNR является dB. Для определения характеристик RMSE и MSE используются следующие формулы:

1 M-1 N-1 я 2 2

RMSE = {-— Z Z (x[i,j] -x[i,j])2}2 ,

M x N i=o j=0

і M-1 N-1 2

MSE = -— Z Z (x[i,j] -X[i, j])2

M x N i=0 j=0 ’

где x- изображение, размерностью M x N; X- восстановленное изображение; x[i,j] и x[i,j]- элементы исходного и восстановленного изображений соответственно.

Вычисление соотношения сигнал-шум определяется характеристикой SNR, которая формально может быть представлена в следующщем виде:

SNR(dB) = 20 lg{

1

M х N

M-1 N-1 2 Z Z x2[i,j])

i=0 j=0

1

2

1

RMSE

} =

M-1 N-1 2 M-1 N-1 2

= 10lg{ z Z x2[i,j]xi/( Z z(x[i,j]-x[i,j])2)}.

i=0 j=0 i=0 j=0

Для анализа алгоритмов сжатия изображений параметр MSE наиболее часто задается с помощью эквивалентной величины - пикового соотношения сигнал/ шум PSNR, вычисляемого по формуле

PSNR(dB) = 10 lg[(2B -1)2 / MSE] = ( )

= 20lg[(2B - 1)/RMSE], (9)

где В - разрядность исходных данных.

Учитывая, что наиболее распространненым числом разрядов для представления цветовых характеристик является B=8, формула для определения PSNR принимает вид:

PSNR(dB) = 10lg(2552 / MSE) = (10)

= 20lg(255/RMSE), (10)

где 255 - максимально возможное значение для 8 битов.

Качественно восстановленное изображение обычно имеет значение PSNR, равное 30 dB и более.

Следует отметить, что меньшее значение PSNR не обязательно свидетельствует об уменьшении качества для конкретного изображения. Метрики определения объективных ошибок (MSE, SNR, PSNR) не всегда точно устанавливают соотношение с субъективным измерением качества. Существуют ситуации, когда PSNR-значение для восстанавливаемого изображения является достаточно высоким, но субъективное качество, определяемое визуально, оказывается неудовлетворительным. Поэтому выбор объективного и субъективного качества при разработке алгоритма

компрессии и декомпрессии видеоданных часто зависит от области его применения.

3. Зависимость потери качества изображения от разрядности данных

При аппаратной реализации модели процесса вейвлетпреобразования качество восстановленного изображения зависит от числа разрядов, которые используются для представления коэффициентов лифтинговой схемы. Меньшая разрядность данных позволяет сократить аппаратурные затраты за счет снижения качества изображения, к которому применяется вейвлетпреобразование. Для получения оптимального устройства для отношения “размер-качество” изображение необходимо промоделировать в целях определения влияния округления значений коэффициентов и результатов вычислений на внесение погрешности в обрабатываемые данные. При этом мерой изменения качества выбрано пиковое соотношение сигнал/шум PSNR (10) .

Для определения качества изображения при заданных характеристиках разрядности данных разработано программное средство в среде Matlab[11], которое выполняет прямое и обратное вейвлет-преобразование Добеши, позволяет округлять значения коэффициентов, промежуточных и выходных результатов преобразований до заданного числа разрядов с последующим вычислением характеристики качества изображения PSNR . Тестовыми примерами являются два изображения. Первое (рис. 4) представляет собой картинку с плавными переходами тонов, второе (рис. 5) - сильнонасыщенное, с мелкими деталями. Значения качества PSNR для преобразования без внесения искажений представлены в табл 1.

Рис. 4. Цветок

Таблица 1. PSNR для DWT-преобразования без внесения дополнительных погрешностей

Изображение PSNR

Цветок (PSNR1) 292,38

Franlfurt (PSNR2) 297,11

44

РИ, 2007, № 3

Рис. 5. Фрагмент аэропорта города Франкфурта

Целая часть коэффициентов лифтинговой схемы вейвлета Добеши 9/7 (1) находится в диапазоне [-1,0], поэтому для ее представления достаточно двух разрядов. Значения масштабирующих коэффициентов -положительны и меньше 1. Они могут быть представлены только дробной частью. Коэффициенты масштабирования, вычисленные на основе формулы (8), представлены ниже:

K0 = 0.812893066115961; K1 = 0.615087052457.

В общем случае формат коэффициентов вейвлетпреобразования имеет следующий вид:

n+1 n n-1 0

части. Из полученных данных видно, что коэффициенты, имеющие дробную часть < 4 разрядов, не позволяют сохранить хорошее качество изображения, поскольку для них значение PSNR меньше 30 dB. Коэффициенты с 6 разрядами дробной части предоставляют значение PSNR близкое к 30 dB, но оно также не является достаточным, поскольку в этом случае, при определении PSNR не учитывалась погрешность округления промежуточных и окончательных результатов вычисления. Для выполнения анализа выбираются коэффициенты с разрядностью 6, 8, 10, 14,16, 24 и 32 битов, с числом битов после запятой 8, 10, 12, 14, 22 и 30 соответственно.

Таблица 3. Зависимость качества изображения от разрядности дробной части коэффициентов

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Ncoef 6 8 10 12 14 16 24 32

n 4 6 8 10 12 14 22 30

PSNR 23,76 30,01 40,06 55,32 78,71 94,35 131,8 186,7

На точность произведенных вычислений влияет разрядность формируемых результатов для низкочастотного (LL) и высокочастотного (HH) поддиапазонов, а также размерность промежуточных данных, получаемых путем использования лифтинговой архитектуры устройства. Разрядность отдельных каналов цветового изображения равна 8 битам. Однако результат DWT-преобразования, который может быть использован в качестве исходной информации для следующего уровня вычислений, может быть отрицательным. Поэтому к 8-ми битам данных добавляется 9-й знаковый бит. Результаты вычислений промежуточных и оконч ательных значений представляются в фор -мате:

где число n представляет собой разрядность дробной части. Таким образом, [n-1:0] есть дробная часть коэффициента, n - целая часть, n+1 - знаковый разряд.

m+9 m+8_____mm - 1________0

где m - размер дробной части.

Двоичное представление коэффициентов лифтинг-архитектуры приведено в табл. 2. Числа записаны в прямом коде с фиксированной точкой. Старший бит соответствует знаку, следующий за ним бит - целой части, остальные биты - дробной части коэффициента.

Таблица 2. Двоичный код коэффициентов лифтинговой

схемы Добеши

Коэф

Двоичная форма, прямой код

P1 1 1 1 0 0 1 0 1 1 0 0 0 0 0 1 1 0 0 1 1 1 0 0 1

U1 1 0 0 0 0 0 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1 1 0

P2 0 0 1 1 1 0 0 0 1 0 0 0 0 0 0 1 1 0 0 1 1 1 0 1

U2 0 0 0 1 1 1 0 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0

K0 0 0 1 1 0 1 0 0 0 0 0 0 0 1 1 0 0 1 1 1 0 0 0 0

K1 0 0 1 0 0 1 1 1 0 1 0 1 1 1 0 1 1 0 0 1 0 1 1 0

Формула (10) была использована для вычисления среднего PSNR, в зависимости от разрядности коэффициентов лифтинговой схемы (табл. 3). Здесь параметр Nkoef соответствует общему числу битов для представления коэффициентов, n - размеру дробной

Средние значения PSNR для различных возможных форматов представления данных приведены в табл. 410, где столбцы соответствуют разрядности высокочастотных результатов, а строки - низкочастотных. Разрядность промежуточных данных принимается равной количеству битов низкочастотного результата.

Таблица 4. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для коэффициентов лифтинга с 6 битами после запятой

6 m/Ntem p

HH/LL 0/9 2/11 7/16 23/32 31/40

0/9 30,208 30,005 29,992 29,984 29,984

2/11 30,192 30,032 30,021 30,012 30,012

7/16 30,214 30,032 30,022 30,014 30,014

23/32 30,214 30,033 30,023 30,014 30,014

31/40 30,214 30,033 30,023 30,014 30,014

РИ, 2007, № 3

45

Рис. 6. Зависимость разрядности арифметического блока от PSNR

Таблица 5. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для коэффициентов лифтинга с 8 битами после запятой

8 m/Ntem] p

HH/LL 0/9 2/11 7/16 23/32 31/40

0/9 37,991 39,659 39,756 39,782 39,782

2/11 37,962 39,907 40,016 40,046 40,046

7/16 38,035 39,91 40,033 40,064 40,064

23/32 38,034 39,912 40,034 40,064 40,064

31/40 38,034 39,912 40,034 40,064 40,064

Таблица 6. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для коэффициентов лифтинга с 10 битами после

запятой

10 m/Ntem p

HH/LL 0/9 2/11 7/16 23/32 31/40

0/9 45,11 50,092 50,686 50,631 50,631

2/11 45,137 53,254 54,883 54,747 54,747

7/16 45,341 53,334 55,48 55,319 55,319

23/32 45,344 53,336 55,479 55,32 55,32

31/40 45,344 53,336 55,479 55,32 55,32

46

РИ, 2007, № 3

Таблица 7. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для коэффициентов лифтинга с 10 битами после

запятой

12 m/Ntem 3

HH/LL 0/9 2/11 7/16 23/32 31/40

0/9 45,158 51,923 52,857 52,855 52,855

2/11 45,205 57,737 64,537 64,538 64,538

7/16 45,397 57,947 77,645 78,576 78,576

23/32 45,396 57,951 77,842 78,706 78,706

31/40 45,396 57,951 77,842 78,706 78,706

Сформированные в таблицах данные позволяют выбрать необходимую конфигурацию арифметического блока в зависимости от требуемого качества PSNR. Однако в табл. 4-10 предлагается большое количество конфигураций системы при одинаковом значении PSNR. Для того чтобы иметь оптимальное решение, полученные данные сводятся в единую табл. 11, в которой: PSNR - значения пиковых соотношений <сигнал-шум>, взятых из табл. 4-10; PSNR' - целая часть числа PSNR; HH и LL - количество двоичных знаков после запятой для высокочастотного и низкочастотного результатов; п_соеГ - число двоичных знаков для коэффициентов лифтинга; Weight - вес, представляющий собой среднее значение битов для каждой конфигурации: Weighti = (HHi + LLi + п_соеГі)/3. Параметр Weight позволяет одним значением определить сложность предлагаемой конфигурации. Графическая зависимость веса Weight от PSNR представлена на рис. 6. Нижние точки диаграммы являются оптимальными (минимальными) решениями конфигурации арифметического устройства для выбранного качества PSNR. Значения этих точек представлены в табл. 12.

Таблица 8. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для коэффициентов лифтинга с 14 битами после запятой

14 m/Ntem p

HH/LL 0/9 2/11 7/16 23/32 31/40

0/9 45,118 51,933 52,86 52,865 52,865

2/11 45,159 57,778 64,642 64,734 64,734

7/16 45,355 57,996 80,025 91,327 91,327

23/32 45,356 57,999 80,492 94,346 94,346

31/40 45,356 57,999 80,492 94,346 94,346

Таблица 9. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для коэффициентов лифтинга с 22 битами после запятой

22 m/Ntem p

HH/LL 0/9 2/11 7/16 23/32 31/40

0/9 45,132 51,935 52,861 52,865 52,865

2/11 45,166 57,77 64,655 64,752 64,752

7/16 45,364 57,993 80,607 94,872 94,872

23/32 45,365 57,992 81,102 131,78 131,78

31/40 45,365 57,992 81,102 131,78 131,78

Таблица 10. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для коэффициентов лифтинга с 12 битами после запятой

30 m/Ntem p

HH/LL 0/9 2/11 7/16 23/32 31/40

0/9 45,133 51,936 52,861 52,865 52,863

2/11 45,167 57,771 64,654 64,752 64,751

7/16 45,365 57,994 80,61 94,873 94,873

23/32 45,365 57,994 81,102 181,98 185,13

31/40 45,365 57,994 81,102 182,12 186,66

РИ, 2007, № 3

47

Таблица 11. Фрагмент представления обобщенных данных зависимости конфигурации от качества

№ PSNR PSNR' HH LL n coef W eight

1 30,208 30 0 0 6 2

2 30,191667 30 2 0 6 2,666667

3 30,214 30 7 0 6 4,333333

4 30,214 30 23 0 6 9,666667

5 30,214 30 31 0 6 12,33333

6 30,004667 30 0 2 6 2,666667

7 30,031667 30 2 2 6 3,333333

8 30,032333 30 7 2 6 5

9 30,032667 30 23 2 6 10,33333

10 30,032667 30 31 2 6 13

Таблица 12. Оптимальная конфигурация арифметического блока для различных значений

качества

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

PSNR' HH LL Nkoef Weight

30 0 0 6 2

38 0 0 8 2,66667

40 0 2 8 3,33333

45 0 0 10 3,33333

50 0 2 10 4

51 0 7 10 5,66667

52 0 2 12 4,66667

53 2 2 10 4,66667

55 2 7 10 6,33333

58 2 2 12 5,33333

65 2 7 12 7

78 7 7 12 8,66667

79 7 23 12 14

80 7 7 14 9,33333

81 7 7 22 12

91 7 23 14 14,6667

94 23 23 14 20

95 7 23 22 17,3333

132 23 23 22 22,6667

182 23 23 30 25,3333

185 23 31 30 28

187 31 31 30 30,6667

4. HDL-модель арифметического блока для DWT-преобразования

Для аппаратной реализации арифметического блока DWT-преобразования используется выполняемая структурная модель, реализованная в редакторе Simulink, входящего в пакет Matlab [11], схема которой представлена на рис. 7. Пакет Simulink позволяет создавать модели устройств для тестирования и верификации функциональности алгоритма во времени. Модель арифметического блока состоит из элементов с задержками , выполняющих умножение и сложение функций, а также мультиплексирование. Схема содержит управляющие сигналы first и last для вычисления первых и последних элементов входной последовательности. Все блоки, составляющие схему, легко кодируются с помощью языков описания аппаратуры VHDL [12-15] и Verilog [12].

В процессе исследований была разработана VHDL-модель устройства арифметического блока. Его интерфейс имеет следующий вид:

entity Arith_for_raw is

generic(n_data : positive := 9; n_koef : positive := 8); port( x0 : in std_logic_vector(n_data-1 downto 0); x1 : in std_logic_vector(n_data-1 downto 0); x2 : in std_logic_vector(n_data-1 downto 0);

Clk : in std_logic;

Rst : in std_logic; en : in std_logic;

C_alu_raw : in STD_LOGIC_VECTOR( 1 downto 0); L : out std_logic_vector(n_data-1 downto 0);

H : out std_logic_vector(n_data-1 downto 0) ); end Arith_for_raw;

В интерфейсе VHDL-модели generic-константа n_data задает разрядность данных, а n_koef- число битов для коэффициентов лифтинга.

В качестве практических результатов следует считать синтез цифрового устройства и его имплементацию в кристалл микросхемы FPGA Xilinx Virtex II [16] для различных конфигураций арифметического блока. Параметры проекта - размер полученного устройства в эквивалентных вентилях и максимальная рабочая частота - представлены в табл. 13, из которой видно, что увеличение разрядности представления данных приводит к увеличению аппаратной сложности и снижению максимальной рабочей частоты устройства.

Таблица 13. Зависимость размерности арифметического блока и его максимальной рабочей частоты от разрядности операндов

PSNR' Nll Nkoef Size MHz

30 9 8 26141 59,0528

38 9 10 26141 58,2411

40 11 10 26585 50,5587

45 9 12 26141 56,427

50 11 12 26585 50,5076

51 16 12 27695 48,4966

52 11 14 26585 49,4731

53 11 12 26585 50,5076

55 16 12 27695 48,4966

58 11 14 26585 49,4731

65 16 14 27695 46,7858

78 16 14 27695 46,7858

79 32 14 57315 42,3926

80 16 16 27695 45,8863

81 16 24 53231 38,5609

91 32 16 57459 40,4482

94 32 16 57459 40,4482

95 32 24 109263 32,8084

132 32 24 109263 32,8084

182 32 32 110847 33,0066

185 40 32 165259 28,1841

187 40 32 165259 28,1841

48

РИ, 2007, № 3

Максимальную скорость (59 МГц) и минимальный размер схемы (26 141 эквивалентных вентилей) при достаточном качестве изображения (« 30dB) после преобразования дает конфигурация при NLL = 9 (0 знаков после запятой) и N^ef = 8 (6 знаков после запятой).

Рис. 8 иллюстрирует зависимость аппаратной сложности и рабочей частоты проектируемого цифрового устройства от качества изображения.На диаграмме размерность и частота преобразователя измеряются в процентах относительно максимального полученного значения, взятого из табл.13:

Freq_p = Freq*100/49.47;

Size_p = Size*100/110847.

Незначительное увеличение размерности устройства наблюдается уже при увеличении качества до PSNR= 78 dB. При этом снижение быстродействия (до 46 МГ ц) не является существенным. Дальнейший рост требований к качеству преобразования приводит к двуктратному увеличению аппаратной сложности устройства и 50% снижению скорости.

Таким образом, оптимальной конфигурацией аппаратной реализации двумерного DWT -преобразования Добеши 9/7 для стандарта сжатия изображений JPEG2000 является 8-битовое представление коэффициентов лифтинга и 9-битовое задание результатов вычисления.

5. Заключение

Научная новизна работы заключается в построении функциональной зависимости сохранения качества изо бражения от разрядности представления данных и результатов вычисления при выполнении двумерного DWT-прео бр азования Добеши 9/7 для стандарта сжатия JPEG 2000.

Практическая значимость: 1) На основе проведенных вычислительных экспериментов предложена оптимальная конфигурация архитектуры с точки зрения < качество изображения - размер аппаратуры - максимальная частота устройствам 2) Разработана VHDL-модель арифметического блока для специализированного цифрового устройства DWT-преобразования, ориентированного на его имплементацию в силиконовый кристалл. Для тестирования и верификации DWT-модуля использовалась модель системного уровня, реализованная в программе Simulink.

Направления дальнейших исследований связаны с аппаратной реализацией устройства DWT-преобразования в кристалле программируемой логики, полной верификацией HDL-модели, автоматическим генерированием управляющего блока для конвейерных архитектур DWT-преобразователя.

Следует также отметить, что в будущем необходимо акцентировать усилия на определение функциональных отношений между триадой компонентов: <качество преобразования, быстродействие, аппаратурная сложность>. Нахождение функциональной экспериментальной зависимости может существенно упростить процесс создания новых преобразователей путем априорного отсечения неоптимальных решений с позиции критериев, упомянутых выше.

Литература:

1. Daubechies I. and Sweldens W. Factoring wavelet transforms into lifting steps. Technical report. Bell Laboratories. Lucent Technologies. 1996. 368p. 2. Daubechies I. and Sweldens W. Factoring wavelet transforms into lifting schemes. J. Fourier Anal. Appl. Vol. 4. 1998. P. 247-269. 3. Sweldens W. The lifting scheme: a new philosophy in biorthogonal wavelet construction. Proc. SPIE 2569.1995. P. 68-79. 4. DavidS. Taubman, Michael WMarcellin. JPEG2000: image compression fundamentals, standards and practice. Kluwer Academic Pulishers. 2002. 774 p. 5. Majid Rabbani, Rajan Joshi. An overview of the JPEG2000 still image compression standard. Signal Processing. Image Communication .2002. P. 3 - 48. 6. ISO/IEC 15444-1. Information Technology-JPEG2000 Image Coding System-Part 1: Core Coding System. 2000. 211p. 7. ISO/IEC 15444-2, Final

Committee Draft, “Information Technology-JPEG2000 Image Coding System-Part 2: Extensions. 2000. 198p. 8. Andra K., Chakrabarti C., Acharya T. A VLSI architecture for lifting-based forward and inverse wavelet transform - Signal Processing, IEEE Transactions on . Vol. 50 . Issue 4. 2002 . P. 966 - 977. 9. Tinku Acharya, Ping-Sing Tsai. JPEG2000 Standard for Image Compression. Concepts, Algorithms and VLSI Architectures . Wiley-Interscience publication. 2005. 292 p. 10. Kotteri K.A., Barua S., Bell A.E., and Carletta J.E. A comparision of hardware Implementations of the biorthogonal 9/7 DWT: Convolution Versus Lifting. IEEE Transactions 2002. P. 756 - 767. 11. www.mathworks.com. 12. Хаханов В.И., Хаханова И.В. VHDL + Verilog = Синтез за минуты. Харьков: СМИТ. 2007. 264 c. 13. Семенец В.В, Хаханова И.В., Хаханов В.И. Проектирование цифровых систем с использованием языка VHDL. Харьков: ХНУРЭ. 2003. 492 с. 14. Charles H. Roth, Jr. Digital Systems Design UsingVHDL. Boston. PWS Publishing Company. 1998. 470 p. 15. Ashenden, Peter J. The designer’s guide to VHDL. San Francisco. Calis. California. Morgan Kaufmann Publishers, Inc. 1996. 688 p. 16. Xilinx.com.

Поступила в редколлегию 28.08.2007

Рецензент: д-р техн. наук, проф. Кривуля Г.Ф.

Хаханова Ирина Витальевна, докторантка кафедры АПВТ ХНУРЭ. Научные интересы: проектирование цифровых систем на кристаллах. Увлечения: английский язык, музыка. Адрес: Украина, 61166, Харьков, пр. Ленина, 14, тел. 7021-326. E-mail: hahanova@mail.ru.

РИ, 2007, № 3

49

i Надоели баннеры? Вы всегда можете отключить рекламу.