Научная статья на тему 'Использование рациональных коэффициентов вейвлет- преобразования Добеши для оптимизации разрядности данных'

Использование рациональных коэффициентов вейвлет- преобразования Добеши для оптимизации разрядности данных Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
286
121
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Побеженко Ирина Александровна, Хаханова Ирина Витальевна

Выполняется сравнительный анализ зависимости сохранения качества изображения от разрядности рациональных, иррациональных, перевернутых рациональных коэффициентов и данных для двумерного DWT преобразования Добеши 9/7. Анализ полученных результатов позволяет показать оптимальные коээфициенты для VHDLмодели арифметического блока.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Using rational coefficients of wavelet-transform dobeshi to optimize bit data

Completed comparative analysis according to preserve the quality of the image bit for rational, irrational, inverted rational factors and data for the two-DWT transform Dobeshi 9 / 7. Analysis of the results let show the best koeefitsienty for VHDL-model arithmetic unit.

Текст научной работы на тему «Использование рациональных коэффициентов вейвлет- преобразования Добеши для оптимизации разрядности данных»

УДК519.713:681.326

ИСПОЛЬЗОВАНИЕ РАЦИОНАЛЬНЫХ КОЭФФИЦИЕНТОВ ВЕЙВЛЕТПРЕОБРАЗОВАНИЯ ДОБЕШИ ДЛЯ ОПТИМИЗАЦИИ РАЗРЯДНОСТИ ДАННЫХ

ПОБЕЖЕНКО И.А., ХАХАНОВА И.В._______________

Выполняется сравнительный анализ зависимости сохранения качества изображения от разрядности рациональных, иррациональных, перевернутых рациональных коэффициентов и данных для двумерного DWT преобразования Добеши 9/7. Анализ полученных результатов позволяет показать оптимальные коээфициенты для VHDL-модели арифметического блока.

1. Введение

В настоящее время высокое качество, передача изображения от мобильных беспроводных датчиков требует дешевого, быстродействующего выполнения аппаратных средств с низким энергопотреблением современного кодер-декодера как кодер с потерями JPEG2000 [1]. Этот кодер использует биортогональное 9/7 дискретное вейвлет-преобразование (DWT).

В данной работе проектируется выполнение с фиксированной точкой 9/7 DWT на программируемой вентильной матрице (FPGA) использование лифтинг-структуры. Рассматривается традиционная лифтинг-структура (рациональные и иррациональные коэффициенты) и “зеркально отраженная”^1іррі^) структу-ра(перевернутые рациональные коэффициенты).

В теоретических работах по вейвлет-преобразованию [1 -5] приведены алгоритмы сжатия данных с использованием коэффициентов Добеши 9/7. Программная реализация преобразования рассмотрена в работах [4-5]. В [6] был проведен анализ вейвлет-преобразования Добеши 9/7 для иррациональных коэффициентов. Актуальность работы связана с необходимостью поиска оптимальных коэффициентов, позволяющих уменьшить количество разрядов для хранения данных после вейвлет-преобразования. Полученные результаты позволят оптимизировать аппаратную часть устройства с сохранением необходимого и достаточного качества изображения.

2. Лифтинговые схемы реализации DWT преобразований

Каждое вейвлет-преобразование, формируемое с помощью КИХ (конечная импульсная характеристика) -фильтров, может быть получено за конечное число шагов лифтинговой схемы [1- 6]. Ее использование имеет ряд преимуществ перед классической структурой вейвлет-преобразования [1,2].

Лифтинговая схема вычисления вейвлет-преобразования снижает вычислительную сложность в два раза,

позволяет экономить память и конструировать вейвлеты, которые нельзя построить другим способом. Она представляет собой вейвлет второго поколения, где отсутствует растяжение и сдвиг функции, но имеется ряд дополнительных свойств. Каждый вейвлет, построенный на конечных фильтрах, может быть получен за определенное число шагов преобразований на основе лифтинговой схемы. Кроме того, она позволяет конструировать биортогональные вейвлеты и имеет ряд следующих преимуществ перед классической схемой вейвлет-преобразования [4, 8, 9]:

1) Высокую скорость обработки вейвлета, подобную быстрому преобразованию Фурье.

2) Технологичность построения нелинейных вейвлет-преобразованиий - перевод целых чисел в целые, что существенно для аппаратной реализации и кодирования изображений без потерь.

3) Обратное преобразование имеет такую же вычислительную сложность, как и прямое.

4) Возможность реализации адаптивного вейвлет-преобразования, улучшающего детализацию на каждом его шаге - от грубого представления до необходимой степени подробности.

5) Описание преобразования Фурье с помощью аргументов простр анственной о бласти.

Преимуществом использования лифтинговой схемы трансформирования изображения является одинаковая вычислительная архитектура прямого (DWT)[6] и обратного (IDWT ) преобразования, представленого в [6]. Соответствующие формулы вычисления этапов предсказания и обновления для обратного вейвлетпреобразования имеют следующий вид:

d(n_1) = d(n) +Z Pn (k)skn), n є [1,2,..., N]; k

s(n_1) = s(n) +zUn(k)dkn),n є [1,2,...,N].

k

где Pn и Un совпадают с коэффициентами прямого преобразования (4)-(8).

Перед началом IDWT преобразования выполняется процедура обратного масштабирования. Для упрощения аппаратной реализации предложенные стандартом коэффициенты для банка фильтров 9/7 Добеши модифицируются [2] (табл. 1).

Перевернутая структур а (Flipping схема), предложенная в [9], является альтернативной для осуществления лифтинг-метода. Эта структура имеет преимущество из-за уменьшенного критического пути по сравнению с традиционной лифтинг-структурой [6]. Третья стадия (с коэффициентом Р2) при использованиии рациональных лифтинг-коэффициентов может быть зеркально отражена так, что ее фильтрация может быть достигнута точно без потребности в апроксимации. Рис. 1 и 2 показывают стадии анализа и синтеза для выполнения перевернутой структуры.

РИ, 2007, № 4

69

Рис.1. Схема Flipping вейвлет-анализа: разбиение, предсказание и обновление

Лифтинг структуры получают быструю реализацию аппаратных средств, используя рациональные коэффициенты, при этом Р2, Ко и 1/Ко будут квантованы (т.е., представлены с фиксированной точкой). Перевернутая структура с рациональными коэффициентами требует только квантования Ко. Схема вейвлетпреобразования перевернутой структуры с рациональными коэффициентами для операции анализа представлена на рис. 3, которая отличается от схемы, представленной в [6], третьим и четвертым шагами вейвлет-преобразования.

Таблица 1. Лифтинговые коэффициенты для банка

фильтров 9/7 Добеши.

Иррациональные Рациональные Перевернутые рациональные

Pi -1,5859375 '-1,5 P1 -1,5

U1 -0,052734375 -0,0625 U1 -0,0625

P2 0.8828125 0,799804688 1/P2 1,25

U2 0.44140625 0,46875 P2*U2 0.375

K 1.1484375 0,7998046875 K 0.80078125

-1/K -0,87109375 -1,25030517578 - P2/K -0.9990234375

LS NA 42 LS 42

Здесь представлены три набора коэффициентов. Первые соответствуют стандарту; вторые - версия из [10, 11], использующая рациональные коэффицинты и общий масштабирующий множитель - LS (Lumped Scaling). Хотя последний набор содержит рациональные коэффициенты, они не имеют простого способа реализации в аппаратуре, в частности, число 0.8 не может быть представлено конечной дробью. Поэтому числа в дробях коэффициентов переворачиваются

(flipped), например, вместо 0.8 используется 1.25.

2. Вычисление погрешности преобразования данных

Для объективного измерения качества сигналов широко используются: среднеквадратическая ошибка (RMSE - root mean square или MSE - Mean Squared Error); соотношение сигнал-шум (SNR - Signal To Noise Ratio) или пиковое соотношение сигнал-шум (PSNR - Peak Signal To Noise Ratio) [4,12,13]. Единицей измерения SNR и PSNR является dB.

Для анализа алгоритмов сжатия изображений параметр MSE наиболее часто задается с помощью эквивалентной величины - пикового соотношения сигналшум PSNR (Peak Signal To Noise Ratio), вычисляемого по формуле

PSNR (dB) = 10 lg

' (2B -1)2 ' MSE

V /

20 lg

" 2B -1''

RMSE

V /

,(1)

где В - разрядность исходных данных.

Учитывая, что наиболее распространненым числом разрядов для представления цветовых характеристик является B=8, формула для определения PSNR принимает вид:

f

PSNR(dB) = 10lg

V

2552'' MSE

J

20lg

255 1 RMSE J ,

(2)

где 255 - максимально возможное значение для 8 битов.

коэффициенты

Рис.2. Структура Flipping преобразования для операции синтеза

70

РИ, 2007, № 4

(0)

Kl

ы

Входные данные

Промежуточные

результаты

Высокочастотный результат ---►

Низкочастотный

результат ---►

Рис. 3. Схема вейвлет-преобразования перевернутой структуры с рациональными коэффициентами для

Качественно восстановленное изображение обычно имеет значение PSNR, равное 30 dB и более.

Следует отметить, меньшее значение PSRN не обязательно свидетельствует об уменьшении качества для конкретного изображения. Метрики определения объективных ошибок (MSE, SNR, PSNR) не всегда точно устанавливают соотношение с субъективным измерением качества. Существуют ситуации, когда PSNR-значение для восстанавливаемого изображения явялется достаточно высоким, но субъективное качество, определяемое визуально, оказывается неудовлетворительным. Поэтому выбор объективного и субъективного качества при разработке алгоритма компрессии и декомпрессии видеоданных часто зависит от области его применения.

4. Зависимость потери качества изображения от разрядности данных

При аппар атной ре ализации модели процесса вейвлетпреобразования качество восстановленного изображения зависит от числа разрядов, которые используются для представления коэффициентов лифтинговой схемы. Меньшая разрядность данных позволяет сократить аппаратурные затраты за счет снижения качества изображения, к которому применяется вейвлетпреобразование. Чтобы получить оптимальное устройство для отношения “размер-качество” изображение необходимо промоделировать в целях определения влияния округления значений коэффициентов и результатов вычислений на внесение погрешности в обрабатываемые данные. При этом мерой изменения качества выбрано пиковое соотношение сигнал-шум PSNR (10) .

Для определения качества изображения при заданных характеристиках разрядности данныхразработано программное средство[6] в среде Matalab[14], которое выполняет прямое и обратное вейвлет-преобразование Добеши, позволяет округлять значения коэффициентов, промежуточных и выходных результатов преобразований до заданного числа разрядов с последующим вычислением характеристики качества изображения PSNR . Тестовыми примерами являются два изображения. Первое (рис. 4) представляет собой картинку с плавными переходами тонов, второе (рис.

5) - сильнонасыщенное, с мелкими деталями. В данной работе сравниваются полученные значения качества и других характеристик. Значения качества PSNR для преобразования без внесения искажений представлены в табл 2.

Рис. 4. Цветок

Таблица 2. PSNR max для трех типов коэффициетов

Добеши

Иррац. Рац. П. рац.

Изображение PSNR PSNR PSNR

Цветок (PSNR1 292,38 314,03 60,285

Franlfurt (PSNR 297,11 318,56 66,1995

Рис. 5. Фрагмент аэропорта города Frankfurt

РИ, 2007, № 4

71

В табл. 2 в первой колонке отображены показатели PSNRmax для иррациональных коээфициетов Добе-ши, во второй- для рациональных, а в третьей-для перевернутых рациональных.

Целая часть коэффициентов лифтинговой схемы вейвлета Добеши 9/7 [2] находится в диапазоне [-1,0], поэтому для ее представления достаточно двух разрядов. Значения масштабирующих коэффициентов -положительны и меньше 1. Они могут быть представлены только дробной частью.

Двоичное представление рациональных и перевернутых рациональных коэффициентов лифтинг-архитектуры приведены в табл. 3, 4. Для иррациональных коэффициентов значения представлены в [6]. Числа записаны в прямом коде с фиксированной точкой. Старший бит соответствует знаку, следующий за ним бит - целой части, остальные биты - дробной части коэффициента.

Таблица 3. Двоичный код рациональных коэффициентов лифтинговой схемы Добеши

К i Двоичная форма, прямой код

P1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

U1 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

P 2 0 0 1 1 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0

U2 0 0 0 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

K0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0

K1 0 1 0 1 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 0 0 0

LS 0 1 0 1 1 0 1 0 1 0 0 0 0 0 1 0 0 1 1 1 1 0 0 1

Таблица 4. Двоичный код перевернутых рациональных коэффициентов лифтинговой схемы Добеши

К i Двоичная форма, прямой код

P1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

U1 1 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

P 2 0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

U2 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

K0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

K1 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0

LS 0 1 0 1 1 0 1 0 1 0 0 0 0 0 1 0 0 1 1 1 1 0 0 1

Формула (2) была использована при вычислении среднего PSNR для трех видов коэффициентов Добе-ши, в зависимости от разрядности коэффициентов лифтинговой схемы (табл. 5).

Таблица 5. Сравнительный анализ коэффициентов Добеши для трех видов коэффициентов: иррациональных, рациональных, перевернутых

рациональных

Nkoef 6 8 10 12 14 16 24 32

n 4 6 8 10 12 14 22 30

PSNR ир. 23,8 30 40,1 55,3 78,7 94,3 132 187

PSNR рац. 34,9 47,4 59,5 71,5 83,6 95,6 144 192

PSNR п. рац 48 48,1 75,1 69,3 64,5 64,5 64,7 64,7

ния, поскольку для них значение PSNR меньше 30 dB. Для выполнения анализа выбираются коэффициенты с разрядностью 4, 6, 8, 10, 14 , 16, 24 и 32 битов, с числом битов после запятой 6, 8, 10, 12, 14, 22 и 30 соответственно для рациональных и перевернутых рациональных коэффициентов Добеши. Анализ этих коэффициентов приведен в обобщенных табл. 6 (для рациональных коэффициентов) и табл. 7 (для перевернутых коэффициентов), где n- размер дробной части.

Таблица 6. Средняя граничная обобщенная зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для рациональных коэффициентов лифтинга

HH/LL/ HH/LL/

HH/LL HH/LL

0/9/ 31/40/

n 0/9 31/40

4 31,7193 34,941

6 44,194 47,422

8 45,618 59,47

10 46,186 71,509

12 46,171 83,551

14 46,171 95,592

22 46,171 143,176

30 46,136 193,91

Таблица 7. Средняя граничная обобщенная зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для перевернутых рациональных коэффициентов

лифтинга

HH/LL/ HH/LL HH/LL/ HH/LL

n 0/9/0/9 31/40/ 31/40

4 45,846 47,636

6 46,068 47,666

8 47,883 74,656

10 48,204 68,921

12 48,31 64,05

14 48,31 64,05

22 48,301 64,227

30 48,301 64,228

Средние значения PSNR для различных возможных форматов [8] для рациональных коэффициентов До-беши представления данных приведены в табл. 8,9, где столбцы соответствуют разрядности низкочастотных результатов, а строки - низкочастотных. Средние

значения PSNR для различных возможных форматов Здесь параметр Nkoef соответствует общему числу ддя перевернутых рациональных коэффициентов До-бит°в для представления коэффициентов, n - размеру беши представления данных приведены в табл. 10,11, дробной части. Из полученных: данных видно, что где столбцы соответствуют разрадности назкочастот-

коэффициенты, имеющие дробную часть<6 для раци- ных результатов, а строки - низкочастотных.Разряд-

гаа^тк: и перевернутых ртццота^тіх: коэффици- ность промежуточных данных принималась равной

еитов Добеши, а для ^ртццота^тк -<—6 [6], не разрядности низкочастотного результата.

позволяют сохранить хорошее качество изображе-

72

РИ, 2007, № 4

Таблица 8. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для рациональных коэффициентов лифтинга с 4 битами

после запятой

4 m/Ntemp

HH/LL 0/9 2/11 " 7/16 23/32 31/40

0/9 33,71973 34,77897 34,8463 34,8744 34,8744

2/11 33,69003 34,84677 34,9071 34,937 34,937

г 7/16 33,69407 34,8369 34,9113 34,941 34,941

23/32 33,69437 34,8391 34,912 34,941 34,941

31/40 33,69437 34,8391 34,912 34,941 34,941

Таблица 9. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для рациональных коэффициентов лифтинга с 30

битами после запятой

30 m/Ntemp

HH/LL 0/9 2/11 r 7/16 23/32 31/40

0/9 46,136 53,044 53,873 53,884 53,884

2/11 46,083 59,227 65,677 65,772 65,772

r 7/16 46,348 59,205 81,239 95,864 95,864

23/32 46,351 59,235 81,807 186,17 189,89

31/40 46,351 59,235 81,807 186,07 193,91

Таблица 10. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m) для превернутых рациональных коэффициентов лифтинга с 4 битами после запятой

Среднее значение

4 m/Ntemp

HH/LL 0/9 2/11 ' 7/16 23/32 31/40

0/9 45,846 47,438 47,638 47,528 47,528

2/11 45,747 47,542 47,742 47,629 47,629

F 7/16 45,845 47,547 47,749 47,636 47,636

23/32 45,845 47,547 47,75 47,636 47,636

31/40 45,845 47,547 47,75 47,636 47,636

Таблица 11. Зависимость PSNR (dB) от разрядности промежуточных (Ntemp) и конечных результатов (m), для рациональных коэффициентов лифтинга с 30

битами после запятой

30 m/Ntemp

HH/LL 0/9 2/11 7/16 23/32 31/40

0/9 48,301 58,47 61,443 61,122 61,122

2/11 48,136 59,625 64,588 63,931 63,931

7/16 48,254 60,046 64,945 64,227 64,227

23/32 48,254 59,6 64,938 64,228 64,228

31/40 48,254 59,6 64,938 64,228 64,228

Для получения оптимального решения полученные данные были занесены в таблицу, фрагменты которой представлены в табл. 12,13. В шапке таблицы: PSNR - значение пикового соотношения сигнал-шум из табл. 8,9; PSNR' - округленное до целой величины значение PSNR; HH и LL - число двоичных знаков после запятой для высокочастотного и низкочастотного результатов; n^oef - число двоичных знаков для коэффициентов лифтинга; Weight - вес, представляющий собой среднее значение битов для каждой конфигурации:

Weighti = (HHi + LLi + п_сое!Д/3.

Параметр Weight позволяет одним значением определить сложность предлагаемой конфигурации. На рис. 6 представлена сравнительная зависимость веса Weight от PSNR при различных коэффициентах Добеши (иррациональных, рациональных и перевернутых рациональных). Значения оптимальных решений конфигураций арифметического устройства для выбранного качества PSNR представлены в табл. 14 и 15. Сранительная зависимость PSNR для трех коэффициентов представлена на рис. 6.

Рис. 6. Сравнительная зависимость разрядности арифметического блока от PSNR (перевернутые коэффициенты -k1, рациональные коэффициенты-к2 и иррациональные коэффициенты-к3)

Таблица 12. Фрагмент представления обобщенных данных зависимости конфигурации от качества

№ PSNR PSNR HH LL n coef W eight

1 33,72 34 0 0 4 1,3333

2 33,69 34 2 0 4 2

3 33,694 34 7 0 4 3,6667

4 33,694 34 23 0 4 9

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

5 33,694 34 31 0 4 11,667

6 34,779 35 0 2 4 2

7 34,847 35 2 2 4 2,6667

8 34,837 35 7 2 4 4,3333

9 34,839 35 23 2 4 9,6667

10 34,839 35 31 2 4 12,333

Таблица 13. Фрагмент представления обобщенных данных зависимости конфигурации от качества

№ PSNR PSNR' HH LL n coef Weight

1 45,8456 46 0 0 4 1,333333

2 45,7465 46 2 0 4 2

3 45,845 46 7 0 4 3,666667

4 45,845 46 23 0 4 9

5 45,845 46 31 0 4 11,66667

6 47,43803 47 0 2 4 2

7 47,54237 48 2 2 4 2,666667

8 47,54707 48 7 2 4 4,333333

9 47,54707 48 23 2 4 9,666667

10 47,54707 48 31 2 4 12,33333

РИ, 2007, № 4

73

Таблица 14. Оптимальная конфигурация арифметического блока для различных значений качества при перевернутых рациональных

коэффициентах

PSNR' HH LL n coef Weight

46 2 0 4 2

47 0 2 4 2

48 0 23 4 9

58 0 2 12 4,6667

59 0 2 10 4

60 23 2 12 12,333

61 23 2 10 11,667

62 2 2 8 4

63 0 23 10 11

64 2 23 12 12,333

65 2 7 22 10,333

68 2 23 10 11,667

69 7 23 10 13,333

70 23 7 10 13,333

71 2 7 8 5,6667

72 7 7 8 7,3333

75 7 23 8 12,667

Таблица 15. Оптимальная конфигурация арифметического блока для различных значений качества при рациональных коэффициентах

PSNR' HH LL n coef Weight

34 0 0 4 1,333333

35 0 2 4 2

44 0 0 6 2

46 0 0 8 2,666667

47 2 2 6 3,333333

48 23 7 6 12

52 0 2 8 3,333333

53 0 2 12 4,666667

54 0 7 10 5,666667

56 2 2 8 4

58 2 7 8 5,666667

59 2 2 10 4,666667

65 2 7 10 6,333333

66 2 7 14 7,666667

71 7 23 10 13,33333

72 7 7 10 8

77 7 7 12 8,666667

78 23 7 12 14

81 7 7 22 12

82 7 7 14 9,333333

83 7 23 12 14

84 23 23 12 19,33333

92 7 23 14 14,66667

96 7 23 22 17,33333

144 23 23 22 22,66667

186 23 23 30 25,33333

190 23 31 30 28

194 31 31 30 30,66667

5. HDL-модель арифметического блока для DWT преобразования

Для аппаратной реализации арифметического блока DWT преобразования используется выполняемая структурная модель, реализованная в редакторе Simulink, входящем в пакет Matlab [14], схема которой представлена в работе [6]. Схема с рациональными коэффициентами и с перевернутыми рациональными имеет точно такой же вид, как и с рациональными. Отличается она внутренним содержанием блоков, где участвуют коэффициенты Добеши. Все блоки, составляющие схему, легко кодируются с помощью языков описания аппаратуры VHDL [16-19] и Verilog

[16].

В качестве результатов практической реализации следует считать синтез цифрового устройства и его имплементацию в кристалл микросхемы FPGA Xilinx Virtex II[20 для различных конфигураций арифметического блока. Параметры проекта - размер полученного устройства в эквивалентных вентилях и максимальная рабочая частота - представлены в табл. 16 и 17. Как видно из этих таблиц, увеличение разрядности представления данных введет к увеличению размера и снижению максимальной рабочей частоты устройства.

Таблица 16. Зависимость размера арифметического блока и его максимальной рабочей частоты от разрядности операндов (рациональные коэффициенты)

PSNR' LL Nkoef Size ns MHz

34 9 6 22186 7,455 134,138

35 11 6 22735 7,833 127,665

44 9 8 22186 7,649 130,736

46 9 10 22186 7,843 127,502

47 11 8 22741 8,027 124,58

48 16 8 23911 8,929 111,995

52 11 10 22741 8,221 121,64

53 11 14 26831 8,637 115,781

54 16 12 28037 9,317 107,331

56 11 10 22741 8,221 121,64

58 16 10 23911 9,123 109,613

59 11 12 26807 8,415 118,835

65 16 12 28037 23,589 42,3926

66 16 16 28175 9,705 103,04

71 32 12 57915 12,245 81,666

72 16 12 28037 24,723 40,4482

77 16 14 28061 9,511 105,141

78 16 14 28061 30,48 32,8084

81 16 24 41408 11,915 83,9278

82 16 16 28175 30,297 33,0066

83 32 14 58095 12,439 80,3923

84 32 14 58095 35,481 28,1841

92 32 16 58287 12,633 79,1578

96 32 24 85054 14,913 67,0556

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

144 32 24 85054 14,913 67,0556

186 32 32 76377 13,582 73,6269

190 40 32 94518 14,506 68,937

194 40 32 94518 14,506 68,937

74

РИ, 2007, № 4

Таблица 17. Зависимость размера арифметического блока и его максимальной рабочей частоты от разрядности операндов (перевернутые рациональные)

PSNR' LL Nkoef Size ns MHz

46 9 6 30188 10,082 99,18667

47 11 6 30737 9,905 100,9591

48 32 6 73569 17,198 58,1463

58 11 14 38923 12,577 79,51022

59 11 12 38875 12,21 81,90008

60 11 14 38923 12,577 79,51022

61 11 12 38875 12,21 81,90008

62 11 10 38827 11,786 84,84643

63 32 12 74478 18,928 52,83178

64 32 14 74766 18,986 52,67039

65 16 24 88276 22,238 44,96807

68 32 12 74478 18,928 52,83178

69 32 12 74478 18,928 52,83178

70 16 12 40165 13,118 76,23113

71 16 10 40117 12,651 79,04513

72 16 10 40117 12,651 79,04513

75 32 10 74187 17,487 57,18534

Максимальную частоту (134 МГц) и минимальный размер схемы (22186 эквивалентных вентилей) при достаточном качестве изображения после преобразования PSNR=34 dB дает конфигурация с рациональным коэффициентами при Nll = 9 (0 знаков после запятой) и Nkoef = 6 (4 знака после запятой).

Максимальную скорость (100,95 МГц) и минимальный размер схемы (30737 эквивалентных вентилей) при достаточном качестве изображения после преобразования (PSNR=47 dB) дает конфигурация с перевернутыми рациональными коэффициентами при Nll = 11 (2 знака после запятой) и Nkoef = 6 (4 знака после запятой).

Частота устройства уменьшается при увеличении PSNR^ после PSNR=96 dB наблюдается значительное увеличение размера устройства, а к PSNR= 194 dB частота уменьшается почти в 2 раза.

Дальнейший рост требований к качеству преобразования с рациональными коэффициентами приведет почти к пятиктратному увеличению размера устройства и к двоекратному увеличению скорости и частоты. С перевернутыми рациональными коэффициентами заметно,что при увеличении PSNR с 46 dB до 65 dB размер устройства увеличивается в 2,5 раза, а потом при увеличении PSNR до 75 dB размер опять увеличивается в 2,5 раза, при этом минимальная частота равняется 45 МГц при PSNR=65. После анализа табл. 16 и табл. 17 можно сделать таблицу, сравнивающую почти похожие значения PSNR (табл. 18). При анализе табл. 18 можно сделать вывод , что для этого метода реализации фильтра Добеши лучше подходят рациональные коэффициенты.

Таблица 18. Зависимость размера арифметического блока и его максимальной рабочей частоты от разрядности операндов (иррациональные, рациональные, перевернутые рациональные)

PSNR' LL Nkoef Size ns MHz

Иррац 78 16 14 27695 21,374 46,7858

Рац 77 16 14 28061 9,511 105,141

Пер 74 16 10 40117 12,651 79,04

Таким образом, оптимальной конфигурацией аппаратной реализации двумерного DWT-преобразования Добеши 9/7 для стандарта сжатия изображений JPEG2000 является 6-битовое представление коэффициентов лифтинга и 9-битовое представление результатов вычисления.

6. Заключение

Научная новизна работы заключается в построении функциональной сравнительной зависимости (рациональных и рациональных коэффициентов) сохранения качества изображения от разрядности представления данных и результатов вычислении при выполнении двумерного DWT преобразования Добеши 9/7 для стандарта сжатия JPEG 2000.

Практическая значимость: 1) На основе проведенных вычислительных экспериментов предложена оптимальная конфигурация архитектуры с точки зрения < качество изображения - размер аппаратуры - максимальная частота устройствам 2) При сравнении трёх типов коэффициентов Добеши вычислено, что самые лучшие показатели PSNR были выявлены у рациональных коэффициентов, которые при дробной части 6 позволяют сохранить хорошее качество изображения. Для тестирования и верификации DWT -модуля использовалась модель системного уровня, реализованная в программе Simulink.

Направления дальнейших исследований связаны с аппаратной реализацией устройства DWT преобразования в кристалле программируемой логики, полной верификацией HDL-модели, автоматическим генерированием управляющего блока для конвейерных архитектур DWT преобразователя. Также заметно увеличение частоты устройства. Для PSNR=34 dB частота 59 МГц при иррациональных коэффициентах, PSNR=30 dB частота 134 МГц при рациональных коэффициентах Добеши, PSNR=46 dB частота 99,18 МГц при перевернутых рациональных коэффициентах Добеши. При этом размер устройства уменьшается с 26141 эквивалентных вентилей (иррациональные) до 22186 эквивалентных вентилей (рациональные) , а для перевернутых рациональных размер устройства увеличивается до 301888 эквивалентных вентилей.

Следует также отметить, что в будущем необходимо акцентировать усилия на определение функциональных отношений между триадой компонентов: качество преобразования, быстродействие, аппаратурная сложность>. Нахождение функциональной экспериментальной зависимости может существенно упрос-

РИ, 2007, № 4

75

тить процесс создания новых преобразователей путем априорного отсечения неоптимальных решений с позиции критериев, упомянутых выше.

Литература: 1. I. Daubechies and W. Sweldens. Factoring wavelet transforms into lifting steps. Technical report. Bell Laboratories. Lucent Technologies. 1996. 368p. 2. DaubechiesI. and Sweldens W. Factoring wavelet transforms into lifting schemes. J. Fourier Anal. Appl. Vol. 4. 1998. P. 247269. 3. Sweldens W. The lifting scheme: a new philosophy in biorthogonal wavelet construction. Proc. SPIE 2569 .1995. P. 68-79. 4. David S. Taubman, Michael W.Marcellin. JPEG2000: image compression fundamentals, standards and practice. Kluwer Academic Pulishers. 2002. 774 p. 5. Majid Rabbani, Rajan Joshi. An overview of the JPEG2000 still image compression standard. Signal Processing. Image Communication .2002. P. 3-48. 6. Хаханова И.В. Оптимизация разрядности данных для заданного качества вейвлетпреобразования Добеши // АСУ и приборы автоматики. 7. ISO/IEC 15444-1. Information Technology-JPEG2000 Image Coding System-Part 1: Core Coding System. 2000. 211p. 8. ISO/ IEC 15444-2, Final Committee Draft, “Information Technology -JPEG2000 Image Coding System-Part 2: Extensions. 2000. 198p. 9. Huang C., TsengP., and ChenL. Flipping structure: An effcient VLSI architecture for lifting-based discrete wavelet transform, in Proc. IEEE Asia-Pacifc Conf. Circuits and Systems. 2002. Vol. 1. Р. 383-388. 10. AndraK., Chakrabarti C., Acharya T. A VLSI architecture for lifting-based forward and inverse wavelet transform - Signal Processing, IEEE Transactions on . Vol. 50 . Issue 4. 2002 . P. 966 - 977. 11. Barua S., Carletta J.E., Kotteri K.A., Bell A.E. An efficient architecture for lifting-based two-dimensional discrete wavelet transforms. INTEGRATION. The VLSI journal 38 (2005). P. 341-352. 12. Zervas N.D., Anagnostopoulos G.P., Spiliotopoulos V.,

УДК004.415.2

ИССЛЕДОВАНИЕ АРХИТЕКТУРЫ СЕРВИСНО-ОРИЕНТИРОВАННЫХ СИСТЕМ

ГОРБАЧЕВ В.А., ЕФАНОВ А.Ю.________________

Разрабатывается принцип оптимального функционального взаимодействия между компонентами сервисноориентированной системы, а также обеспечения эффективной и надежной работы всей компьютерной системы, построенной на базе технологий виртуализации и кластеризации. Оценивается эффективность использования технологий виртуализации, ее преимущества и недостатки.

Актуальность, новизна и цель работы

За последнее время развития компьютерных систем было разработано и испытано несколько перспективных технологий, которые могут быть использованы как для улучшения характеристик существующих систем, так и для устранения некоторых их недостатков. Среди недостатков существующих компьютерных систем можно отметить:

- Невысокие показатели стабильности работы, длительное время, требуемое для восстановления после сбоя, а также нерациональное и неэффективное использование оборудования.

Andreopoulos Y., Goutis C.E. Evaluation of design alternatives for the 2-D discrete wavelet transform. IEEE Trans. Circuits Systems Video Technol. 11 (12). 2001. P. 12461262. 13. Tinku Acharya, Ping-Sing Tsai. JPEG2000 Standard for Image Compression. Concepts, Algorithms and VLSI Architectures . Wiley-Interscience publication. 2005. 292 p. 14. Kotteri K. A., Barua S., Bell A. E., and Carletta J. E. A comparision of hardware Implementations of the biorthogonal 9/7 DWT: Convolution Versus Lifting. IEEE Transactions 2002. P. 756 - 767. 15. www.mathworks.com 16. Хаханов В.И., Хаханова И.В. VHDL + Verilog = Синтез за минуты. Харьков: СМИТ. 2007. 264 c. 17. Семенец В.В, Хаханова И.В., Хаханов В.И. Проектирование цифровых систем с использованием языка VHDL. Харьков: ХНУРЭ. 2003. 492 с. 18. Charles H. Roth, Jr. Digital Systems Design Using VHDL. Boston. PWS Publishing Company. 1998. 470 p. 19. Ashenden, Peter J. The designer’s guide to VHDL. San Francisco. Calis. California. Morgan Kaufmann Publishers, Inc. 1996. 688 p. 20. Xilinx.com.

Поступила в редколлегию 30.11.2007

Рецензент: д-р техн. наук, проф. Кривуля Г.Ф.

Побеженко Ирина Александровна, ассистент Государственной академии культуры. Научные интересы: проектирование цифровых систем на кристаллах. Увлечения: английский язык, музыка. Адрес: Украина, 61166, Харьков, ул. Плехановская, 73-85 тел. 7374287. E-mail: irina_pob@ukr.net

Хаханова Ирина Витальевна, докторантка кафедры АПВТ ХНУРЭ. Научные интересы: проектирование цифровых систем на кристаллах. Увлечения: английский язык, музыка. Адрес: Украина, 61166, Харьков, пр. Ленина, 14, тел. 7021-326. E-mail: hahanova@mail.ru_____________________

- Большие сложности в интеграции нескольких компьютерных систем между собой.

- Ограниченные возможности доступа к ресурсам системы с мобильных устройств.

- Низкая гибкость существующих систем.

Приведенные выше недостатки могут быть устранены при проектировании компьютерной системы на базе следующих технологий.

Виртуализация. В данном контексте виртуализация -это возможность запуска нескольких операционных систем (виртуальных машин) на одном физическом компьютере. Применение технологий виртуализации предоставляет возможность более эффективно использовать существующее оборудование, а также повысить общую гибкость компьютерной системы [1].

Кластеризация. Компьютерный кластер - это группа компьютеров, объединенных между собой и работающих вместе так, что представляют собой единый и унифицированный компьютерный ресурс. Технологии кластеризации позволяют повысить характеристики стабильности работы, а также снизить время, необходимое для восстановления после сбоя.

Сервисно-ориентированная архитектура (СОА). СОА - метод разработки программного обеспечения, базирующийся на использовании сервисов (служб). Приложения, разработанные с применением данной

РИ, 2007, № 4

76

i Надоели баннеры? Вы всегда можете отключить рекламу.