Научная статья на тему 'Влияние ограничения разрядности коэффициентов фильтра на качество вейвлет-обработки изображений в оттенках серого'

Влияние ограничения разрядности коэффициентов фильтра на качество вейвлет-обработки изображений в оттенках серого Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
189
24
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ЦИФРОВАЯ ОБРАБОТКА ИЗОБРАЖЕНИЙ / ВЕЙВЛЕТ-ПРЕОБ-РАЗОВАНИЕ / РАЗРЯДНОСТЬ КОЭФФИЦИЕНТОВ ФИЛЬТРА / ЦИФРОВОЙ ФИЛЬТР / DIGITAL SIGNAL PROCESSING / WAVELET TRANSFORM / DIGIT CAPACITY / DIGITAL ILTER

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Червяков Николай Иванович, Ляхов Павел Алексеевич, Калита Диана Ивановна, Шульженко Кирилл Сергеевич

В статье исследован вопрос о влиянии снижения разрядности коэффициентов фильтра при вейвлет-обработке изображений в оттенках серого. Показано, что снижение разрядности коэффициентов вейвлетного фильтра ведет к снижению качества получаемого изображения при обработке. Сделан вывод о том, что пригодное на практике изображение можно получить при использовании 12 и более бит представления разрядности коэффициентов, а визуально неотличимое при использовании 28 и более бит разрядности коэффициентов фильтра. Полученный результат может быть использован при построении специализированных систем цифровой обработки изображений с низким энергопотреблением и высокой скоростью работы. Перспективным направлением является применение системы остаточных классов при вейвлеет обработке изображений в оттенках серого с разрядностью данных коэффициентов фильтра от 12 и более бит.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Червяков Николай Иванович, Ляхов Павел Алексеевич, Калита Диана Ивановна, Шульженко Кирилл Сергеевич

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

EFFECT OF FILTER DIGIT CAPACITY LIMITATION ON THE GRAYSCALE IMAGE WAVELET-PROCESSING QUALITY

In this paper we investigated the problem of the bit data decrease effect for the problems of grayscale image processing. There is shown that the digit capacity decrease of the wavelet filter coefficients reduces the quality of the wavelet processed image. We conclude that suitable image in practice can be obtained by using 12-bit representation of filter coefficients, and visually indistinguishable image can be obtained by using 28-bit representation of filter coefficients. This result can be used for specialized digital image processing systems construction with low power consumption and high speed performance.

Текст научной работы на тему «Влияние ограничения разрядности коэффициентов фильтра на качество вейвлет-обработки изображений в оттенках серого»

ФИЗИКО-МАТЕМАТИЧЕСКИЕ НАУКИ

НАУКА. ИННОВАЦИИ ТЕХНОЛОГИИ, №2, 2016

удк004.315 Червяков Н.И. [Chervyakov N.I],

Ляхов П.А. [Lyakhov Р.А.], Калита Д.И. [Kalita D.I.], Шульженко К.С. [Shulzhenko K.S.]

ВЛИЯНИЕ ОГРАНИЧЕНИЯ РАЗРЯДНОСТИ КОЭФФИЦИЕНТОВ ФИЛЬТРА НА КАЧЕСТВО ВЕЙВЛЕТ-ОБРАБОТКИ ИЗОБРАЖЕНИЙ В ОТТЕНКАХ СЕРОГО

Effect of filter digit capacity limitation on the grayscale image wavelet-processing quality

В статье исследован вопрос о влиянии снижения разрядности коэффициентов фильтра при вейвлет-обработке изображений в оттенках серого. Показано, что снижение разрядности коэффициентов вейвлетного фильтра ведет к снижению качества получаемого изображения при обработке. Сделан вывод о том, что пригодное на практике изображение можно получить при использовании 12 и более бит представления разрядности коэффициентов, а визуально неотличимое при использовании 28 и более бит разрядности коэффициентов фильтра. Полученный результат может быть использован при построении специализированных систем цифровой обработки изображений с низким энергопотреблением и высокой скоростью работы. Перспективным направлением является применение системы остаточных классов при вейвлеет обработке изображений в оттенках серого с разрядностью данных коэффициентов фильтра от 12 и более бит.

Ключевые слова: цифровая обработка изображений, вейвлет-преоб-разование, разрядность коэффициентов фильтра, цифровой фильтр.

In this paper we investigated the problem of the bit data decrease effect for the problems of grayscale image processing. There is shown that the digit capacity decrease of the wavelet filter coefficients reduces the quality of the wavelet processed image. We conclude that suitable image in practice can be obtained by using 12-bit representation of filter coefficients, and visually indistinguishable image can be obtained by using 28-bit representation of filter coefficients. This result can be used for specialized digital image processing systems construction with low power consumption and high speed performance.

Keywords: digital signal processing, wavelet transform, digit capacity, digital filter.

Введение

Увеличение интереса к использованию вычислительной техники влечет за собой необходимость в обработке различного рода сигналов: видео, изображений, речи и т. д. В связи с этим, идет активный поиск новых качественных и надежных средств цифровой обработка сигна-

лов (ЦОС) и, как следствие, цифровой обработки изображений (ЦОИ) [1]. ЦОИ охватывает различные области науки и техники, в которых информация представляется в виде изображения, например, медицина, средства спутниковой и навигационной связи, системы видеонаблюдения, средства технической диагностики и т. д.

Одними из наиболее распространенных методов обработки изображений являются преобразование Фурье и вейвлет-преобразование. Преобразование Фурье заключается в разложении частоты сигнала в виде суперпозиции гармонических компонент (синусоид) [2]. В свою очередь, обработка сигналов в частотной области упрощает вычислительную сложность в анализе фильтрации. Однако при проведении Фурье-преобразования используются гармонические функции, которые хорошо локализованы в частотной области, но не локализованы во временной [3]. Это является существенным недостатком преобразования Фурье, которое можно преодолеть, использовав вейвлет-преобразование, предоставляющее как частотную, так и временную информацию об обрабатываемом сигнале [4]. Исследование по проблемам применения вейвлет-преобразо-вания в ЦОС отражено в работах [5-7].

Ключевыми параметрами приложений, использующих ЦОИ, являются скорость работы и величина энергопотребления [8]. Особенно важным это является для мобильных энергозависимых устройств и устройств спутниковой связи. Одним из методов улучшения этих параметров является снижения разрядности коэффициентов фильтра в представляемых данных [9]. Целью данной статьи является исследование вопроса о влиянии снижение разрядности коэффициентов фильтра на качество обработки в задачах вейвлет-преобразования изображений в оттенках серого.

Основы вейвлет обработки изображений

В основе вейвлет-преобразования лежит использование двух непрерывных и интегрируемых по всей оси функций вейвлет-функ-ции ЩЩ и масштабирующей или скейлинг-функции <р{1). таких как в [10]:

00 GO

\\!/{t)dt = 0 \(p{t)dt=\ (1)

Вейвлет-функция также должна обладать свойством смещения во времени и масштабируемости:

y/(t,a,b) = y/(a,b,t)=a 2у/У Ъ

а

(2)

Прямое непрерывное вейвлет-преобразование сигнала s(t) задается вычислением вейвлет-коэффициентов по формуле:

C(a,b) = (s(t),y/(a,b,t)) = Js(i)a 2у/

- rt-h\

f b ]dt (3)

a

Обратное непрерывное вейвлет-преобразование осуществляется по формуле восстановления во временной области [11]:

Г = (4)

—00—00

В связи со значительным неудобством вычисления интегралов на практике величины а и Ь обычно задаются дискретно [12]. В этом случае реализуется дискретное вейвлет-преобразование. В численном и функциональном анализе дискретные вейвлет-преобразования (ДВП) относятся к вейвлет-преобразованиям, в которых вейвлеты представлены дискретными сигналами (выборками) [13] в следующем виде:

N-1

S(t) = £ S(iAt)S(t - iAt), (5)

/=i

В дальнейшем будем рассматривать изображения в оттенках серого. При цифровой обработке изображений изображение обычно представляется в виде прямоугольного массива целых чисел (пиксе-

v

А< О о 0<А< 255 255 255 < А -•-•-► А

черный оттенки белый

цвет серого цвет

Рисунок 1. Принцип отображения чисел в цвет пикселя изображе-

ния в оттенках серого.

Рисунок 2. Структура набора фильтров обработки изображений.

лей). При этом яркость или темнота уровней серого цвета определяется степенями 2. Таким образом, чем больше число, представляющее код пикселя, тем ярче изображение в этой точке. Величина пикселей изображения кодируется 8-битными числами, находящимися в диапазоне [0,255], при этом 0 представляет черный цвет, 255 белый [14]. На рисунке 1 схема-

г

64) 64)

64)

64)

Рисунок 3. Результат обработки изображения «девушка» в оттен-

ках серого а) исходное изображение; б1)-б4) результаты разложения исходного изображения; в) результат восстановления изображения.

тически представлена зависимость цветности пикселя, представленного в оттенках серого, от величины кодового числа.

Для обработки изображений будем использовать вейвлетные фильтры. В этом случае для обработки изображения требуется двукратное применение фильтров, так как изображение представляется в виде двумерного массива данных. Таким образом, обработка изображения происходит в два этапа. Первый этап состоит в обработке строк изображения, второй в обработке его столбцов. Обратный этап восстановления изображения также происходит в два этапа и реализуется аналогичным образом [15, 16]. На рисунке 2 схематично представлены этапы обработки изображений.

Покажем работу фильтра Добеши-4 на примере. В качестве исходного изображения используем изображение «девушка», после обработки его вейвлет фильтрами получили 4 изображения, одно из которых является уменьшенной копией оригинала. На этапе восстановления получаем изображение, совпадающее с изображением, не подвергшемся фильтрации.

Исходные, использующие коэффициенты фильтра, являются числами двойной точности длиной 64 бита. Разложение и восстановление изображения с использованием фильтра ОЬ-4 проводилось в программной среде МАТЬАВ®.

Влияние изменения разрядности коэффициентов фильтра на снижение энергопотребления и скорость работы устройства

Одним из наиболее используемых и часто применяемых на практике при цифровой обработке данных является 8 битное представление информации. При 8 битном представлении данных со знаком, под величину числа отводится 6 бит, а знак числа определяется 2 старшими битами (0 - положительное, 01 и 10 - недопустимые комбинации, используемые для обнаружения ошибок, 1 - отрицательное).

Приведем пример записи числа 47 в 8-битном представлении, используя прямой, обратный и дополнительный коды записи информации. При переводе числа из десятичной в двоичную систему счисления, мы получим следующее представление данного числа, что будет соответствовать прямому коду представления:

шшшмш

чн4—V—/

Знак Значение числа

Рисунок 4. Схематичное представление числа 47 в записи прямого

кода.

Соответствующий данному числу обратный код записи будет выглядеть следующим образом:

Рисунок 5. Схематичное представление числа 47 в записи

обратного кода.

Дополнительный код представления числа образован путем прибавления к младшему биту в записи обратного кода 1, таким образом получаем:

иим

V V

Знак Значение числа

Рисунок 6. Схематичное представление числа 47 в записи

дополнительного кода.

На практике применяются обратный и дополнительный коды, что связано с сокращением числа выполняемых операций и с количеством затраченного энергопотребления. В случае применения обратного кода имеется массив данных большей размерности и при этом большее количество операций. В дополнительном коде имеем меньший массив данных и меньшее число операций за счет неиспользования циклического переноса из старшего в младший разряд, что соответственно приводит к снижению затраченного энергопотребления.

Наиболее существенными характеристиками цифрового устройства при построении цифровых фильтров являются энергопотребление и быстродействие [17]. Динамическое потребление мощности микросхемой определяется по формуле:

W = H'-C'V2 • /

(6)

где п С V

число переключаемых узлов; емкость;

разность уровней напряжения; частота.

В формуле (1) число переключаемых узлов зависит, в том числе и от разрядности чисел, используемых во всех операциях.

Таким образом, из формулы (6) можно сделать вывод о прямо пропорциональном влияние количества разрядности данных на результирующую, потребляемой мощности приложением ЦОИ.

На быстродействие интегральной схемы оказывают влияние несколько факторов, которые входят в формулы (7) и (8) [18]:

N -N

, __elements bytes! elements < у J

read I write rni . ,

^read/write-ThrOUghtPUtideal

где ^¡elements - количество передаваемых элементов, N bytes/elements- размер элемента данных,

Throiightput ideai - идеальная пропускная способность при чтении/записи,

QreadMrite ~ коэффициенты соотношения реальной и идеальной пропускной способности.

N ■ N

, _ т elements operations i element

comp ^ TV.™.«.J.*™.* ' (^)

fclock ■ Troughtput

process

/V • /V

^ _ elements operations / element

СОтр fclock -Troughtputprocess

где ^eume»ts - количество обрабатываемых элементов,

Noperatiom/eiements- количество операций над элементом данных, необходимое для достижения конечного результата, fС1ОСк - тактовая частота,

Thronghtput Wocess ~ количество операций за такт.

В формулах (7) и (8) значение ^operations/elements указывает на размер входных данных для формулы (7) и обрабатываемых данных для формулы (8) Одним из путей увеличения быстродействия устройства и снижения энергопотребления является уменьшение разрядности обрабатываемых коэффициентов фильтра. Далее будет показано, как влияет снижение разрядности на качество вейвлет-обработки изображения в оттенках серого.

Моделирование обработки изображения с пониженной разрядностью коэффициентов фильтра

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Для моделирования обработки изображения использовались три изображения: «девушка», «дом», «тюльпаны». Для коэффициентов фильтра использовалась разрядность от 4 до 32 бит.

Из рисунков 7-9 видно, что с повышением разрядности коэффициентов фильтра увеличивается качество фильтруемого изображения.

Для количественной оценки качества полученных результативных изображений используем числовые характеристики PSNR и SSIM.

1. РЯЫЯ. или пиковое отношение сигнал-шум, между двумя изображениями (оригиналом и полученным изображением). Вычисляется данная характеристика по формуле:

PSNR = 10 log

ю

f R2 л KMSE у

(9)

1

£

а)

2

Д)

Рисунок 7. Результаты моделирования изображения «девушка» с

пониженной разрядностью коэффициентов фильтра.

а) исходное изображение; б) 8 бит; в) 12 бит; г) 16 бит; д) 20 бит; е) 24 бит; ж) 28 бит з) 32 бит

а) б) в) г)

Д) е) ж) з)

Рисунок 8. Результаты моделирования изображения «дом» с по-

ниженной разрядностью коэффициентов фильтра.

а) исходное изображение; б) 8 бит; в) 12 бит; г) 16 бит; д) 20 бит; е) 24 бит; ж) 28 бит з) 32 бит

а) б) в) г)

Д) е) ж) з)

Рисунок 9. Результаты моделирования изображения «цветы» с

пониженной разрядностью коэффициентов фильтра.

а) исходное изображение; б) 8 бит; в) 12 бит; г) 16 бит; д) 20 бит; е) 24 бит; ж) 28 бит з) 32 бит

12(т,п)]2

где МБЕ = ^--

М-Ы

среднеквадратическая ошибка сравнения качества восстановленного изображения; Я - максимальное колебание входного сигнала изображения. Так как величина РЗМ( имеет логарифмическую природу, единицей ее измерения является децибел (Дб). Чем больше величина тем лучше качество восстановленного изображения для тождественно равных изображений РБМ! = со. При исследовании алгоритмов сжатия и очистки от шума изображений в оттенках серого практически пригодной считается величина РйМЯ, изменяющаяся в пределах от 20 Дб до 50 Дб [19, 20]. Для рассматриваемых нами случаев фильтрации изображений с разной разрядностью коэффициентов фильтра вычислялась ве-

личина между изображением, полученным при использовании традиционной двоичной системы счисления и изображением, полученным с использованием соответствующей разрядности коэффициентов: 8, 12, 16,

20, 24, 28, 32.

2. 881М, или индекс структурного сходства между двумя изображениями, определяется на основе полного сопоставления исходного и полученного изображений [21]. Данная характеристика вычисляется по формуле:

1 \1цхцу + с, )

ст2+СГ2+С2)

881М{х,у)={ Г^? у 2 V \ (10)

где их - среднее х, иу - среднее у, о~ - дисперсия х, а~ - диспер-

сия у, а„ - ковариация х, и у, с2 = (к2Ь)2, с2 = (к2Ь)2 - две переменных, Ь - динамический диапазон пикселей, кг = 0,001 и к2 = 0,03 константы. Величина 881М находится в пределах между 0 и 1 и равна 1 для тождественно равных изображений. Для рассматриваемых нами случаев фильтрации изображений с различной разрядностью коэффициентов фильтра величина XXIМ вычислялась между изображением, полученным при использовании традиционной двоичной системы счисления и изображением, с соответствующей разрядностью коэффициентов фильтра.

В таблице 1 представлены результаты оценки качества обработанных изображений с использованием различной разрядности коэффициентов фильтра.

Из таблицы 1 видно, что уменьшение разрядности коэффициентов фильтра ведет к снижению качества обработанных изображений в оттенках серого. Таким образом, чем больше разрядность представляе-

Табл. 1. РЕЗУЛЬТАТЫ МОДЕЛИРОВАНИЯ ОБРАБОТКИ

ИЗОБРАЖЕНИЙ С РАЗЛИЧНОЙ РАЗРЯДНОСТЬЮ КОЭФФИЦИЕНТОВ ФИЛЬТРА

Разрядность Характеристика Изображение

девушка ДОМ цветы

Р8М!1 Дб 18,15 20,69 19,73

681М 0,5398 0,7940 0,7117

12 РЭШ, Дб эзим 42,13 0,9903 44.51 0,9973 43,63 0,9961

16 РвШ, Дб 881М 60,29 0,9995 58,95 0,9997 58,55 0,9995

20 Р§Ш, Дб 8Б1М 71,86 1,0000 69,81 1,0000 69,49 1,0000

24 РвШ, Дб ЭЭИМ 83,07 1,0000 83,49 1,0000 82,18 1,0000

28 Р$Щ Дб® 8Б1М со 1 96,49 1,0000 96,49 1,0000

32 РЗШ, Дб Зб1М со> 1 со 1 со> 1

мых коэффициентов фильтра (в нашем случае изображения), тем лучше результат обработки изображения. Анализируя полученные результаты моделирования можно сделать вывод о том, что использование 12 битной разрядности коэффициентов фильтра дает приемлемое качество изображения, однако визуально неотличимое от оригинала. Для абсолютно точных расчетов, необходимо использовать 28 и более бит разрядности коэффициентов фильтра.

Рисунок 10 показывает, что при увеличении разрядности коэффициентов фильтра показатель Р81МЯ также увеличивается, что говорит об улучшении качества полученного при обработке изображения.

Из рисунка 11 видно, что при разрядности, равной от 12 бит, 881М становится приблизительно равным единице, что свидетельствует о получение результирующего изображения близкого по качеству к исходному.

120

Рисунок 10. Результаты РБЫР изображений с уменьшением разрядности

коэффициентов фильтра.

Заключение

В статье исследовано влияние снижения разрядности обрабатываемых коэффициентов фильтра для цифровой обработки изображений в оттенках серого с использованием вейвлет-фильтров. Полученные в работе результаты свидетельствуют о том, что снижение разрядности коэффициентов фильтра до 12 бит позволяет получать пригодные на практике изображения в оттенках серого (Р8К'К > 40 Дб). Сравнение числовых характеристик PSNR и 1М показывает, что качество результирующего изображения становится практически неотличимым от требуемого при разрядности обрабатываемых коэффициентов от 28 бит.

Полученные результаты могут быть использованы при проектировании устройств вейвлет обработки изображений, обладающих высокой скоростью и низким энергопотреблением. Интересным направлением

1,2

0,2

девушка "дом" "цветы"

8 12 16 20 24 28 32

Разрядность данных

Рис. 11. Результаты ББИМ изображений с уменьшением

разрядности коэффициентов фильтра.

дальнейших исследований является моделирование вейвлет обработки с пониженной разрядностью коэффициентов на программируемых логических интегральных схемах.

Благодарности

Работа выполнена при финансовой поддержке гранта Президента Российской Федерации МК-5980.2016.9.

БИБЛИОГРАФИЧЕСКИЙ СПИСОК

1. Сергиенко А.Б. Цифровая обработка сигналов. СПб.: Питер, 2003. 604 с.

2. Нуссбаумер Г. Быстрое преобразование Фурье и алгоритмы вычисления свёрток. М.: Радио и связь, 1985. 248 с.

3. Добеши И. Десять лекций по вей влетам. Ижевск: НИЦ «Регулярная и хаотическая динамика», 2001. 464 с.

4. Блаттер К. Вейвлет-анализ. Основы теории. М.: Техносфера, 2006. 272 с.

5. Воробьёв В.И., Грибунин В.Г. Теория и практика вейвлет-преоб-разования. СПб.: ВУС, 1999. 204 с.

6. Переберин А.В. О систематизации вейвлет-преобразований// Вычислительные методы и программирование. 2001. Т. 2. С. 15-40.

7. Фрейзер М. Введение в взйвлеты в свете линейной алгебры/ М. Фрейзер; пер. с англ. М.: БИНОМ. Лаборатория знаний, 2008. 487 с.

8. Juxia М. Based on the fourier transform and the wavelet transformation of the digital image processing // Computer Science and Information Processing (CSIP), 2012. P. 1232-2134.

9. Hernandez, N.R.; Quirarte, J.L.R. Bits planes technique for digital image processing // Electrical Engineering, Computing Science and Automatic Control, 2008. P. 186-191.

10. Дремин И.М., Иванов О.В., Нечитайло В.А. Вейвлеты и их использование. //Успехи физических наук. 2001. № 5. С. 465-501.

11. Смоленцев H.K. Основы теории вейвлетов. Вейвлеты в Matlab. М.: ДМК Пресс, 2005. 304 с.

12. Дьяконов В.П. Вэйвлеты. От теории к практике. М.: СОЛОН-Р, 2002. 446 с.

13. Новиков И.Я. Теория всплесков / И. Я. Новиков, В. Ю. Протасов, М. А. Скопина. М.: ФИЗМАТЛИТ, 2005. 616 с.

14. Shin F. I. Image processing and pattern recognition: fundamentals and techniques/Wiley-IEEE Press, 2010. 552 p.

15. Малла С. Вейвлеты в обработке сигналов. М.: Мир, 2005. 671 с.

16. Goswami J.С., Chan А.К. Fundamentals of Wavelets. Theory, Algorithms, and Applications. Wiley, 2000. 306 p.

17. Mitra S. Digital Signal Processing: A Completed-Based Approach / 3 edition. UCSB: Mc Graw Hill, 2006. 960 p.

18. Тарасов И. Оценка потребляемой мощности и выбор питания ПЛИС Xilinx. Компоненты и технологии. №2, 2009 г. С. 62-64.

19. Holland В. RAT: RC Amenability Test for Rapid Performance Prediction /URL: http://vwvw.cse.sc.edu

20. Huynh-Thu Q., Ghanbari M. Scope of validity of PSNR in image/ video quality assessment// Electronics Letters. 2008. 44, No. 13. Pp 800-801.

21. Wang Z. Image quality assessment: from error visibility to structural similarity// IEEE Transactions image processing. 2004. 13, No. 4. Pp. 600-61.

i Надоели баннеры? Вы всегда можете отключить рекламу.