Научная статья на тему 'Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com август - сентябрь 2003'

Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com август - сентябрь 2003 Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
157
32
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Долинский Михаил

Цель данных материалов — помочь участникам EDA-индустрии (создателям средств автоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонентов и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA-индустрии.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com август - сентябрь 2003»

Компоненты и технологии, № 9'2003

Тенденции и перспективы развития ЕОД-индустрии по материалам портала DACafe.com

Август - сентябрь 2003

Михаил Долинский

Введение

Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по сентябрь 2003 года выглядит следующим образом:

1. Борьба за интероперабельность*

2. Требуются и начинают возникать средства отладки мультипроцессорных систем

3. Требуются и разрабатываются средства отладки однопроцессорных систем — SoC-платформ: процессор + память + программирумая логика*

4. Генераторы моделей процессоров

5. От C++ к HDL и обратно*

6. IP-компоненты процессоров

6.1. ARM шагает по планете*

6.2. MIPS — с отставанием от ARM, но с опережением всех остальных*

6.3. И другие процессорные ядра*

6.4. Сетевые процессоры

7. В мире ПЛИС

7.1. ПЛИС расширяют сферу применения*

7.2. Развитие средств проектирования ПЛИС*

7.3. Направления и примеры применения — устройства на базе ПЛИС

7.3.1. Цифровая обработка сигналов

7.3.2. Телекоммуникации*

7.3.3. Средства управления движением

7.3.4. Реконфигурация «на лету»

7.3.5. Память с шифрованием данных

7.3.6. CAN-контроллеры

7.4. ASIC конвергируют к ПЛИС

8. IP-компоненты для ПЛИС и ASIC

8.1. DSP-обработка*

8.2. Телекоммуникации*

8.3. Шифрование*

8.4. Как распространяются IP-компоненты*

9. Верификационные IP-компоненты

9.1. Язык верификации е, среда верификации Specman Elite, фирма Verisity — разработчик е и Specman Elite, верификационные компоненты на языке e — eVC*

9.2. Другие языки и средства верификации симуляцией*

9.3. Средства формальной верификации*

10. Прототипирование, эмуляция и отладка ПЛИС и ASIC

10.1. Отладка проектов для ПЛИС*

10.2. On-line — прототипирование ASIC

10.3. Персональные средства для прототипирования ASIC

10.4. Отладчики проектов на кристалле**

11. Отладка программного обеспечения для микроконтроллеров

11.1. Разработки Applied Microsystems

11.2. И все остальные

11.3. Сетевые микроконтроллеры

11.4. Мультимедиа-микроконтроллеры

11.5. Другие новости мира микроконтроллеров

11.6. Операционные системы для встроенных приложений**

11.7. Микроконтроллеры для беспроводных сетей**

11.8. Микроконтроллеры с поддержкой шифрования**

12. Обучение — ключ к продаже

12.1. Очные семинары*

12.2. On-line — обучение

12.3. Университетские программы*

12.4. Обучение через партнерские программы с центрами проектирования

12.5. Документированные проекты

12.6. Комплексная (многовидовая) служба поддержки*

13. Другие ключи к продаже

13.1. Передача маркетинга на сторону*

13.2. Расширение географии*

13.3. On-line — выставки

13.4. On-line — порталы

13.5. On-line — семинары*

14. Интернет-технологии на службе EDA-индустрии*

15. Специализированные СБИС

15.1. Передача данных*

15.2. Сетевая обработка*

15.3. Цифровое телевидение*

15.4. Емкая и быстрая память для мобильных устройств*

15.5. Цифровая камера*

15.6. Суперминиатюрные ИС для 'last-minute' модификаций**

15.7. Цифровая аудиообработка**

15.8. Самая-самая**

Символами «*» отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода.

Компоненты и технологии, № 9'2003

Символами «**» отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде (август-сентябрь 2003 года).

Рамки статьи вынуждают существенно сократить имеющийся фактический материал (полная версия материала доступна по адресу http://NewIT.gsu.unibel.by/resources/articles/ dolinsky/embedded systems/russian/dv0309. txt).

Вначале рассмотрим динамику развития ранее подмеченных тенденций.

1. Новости в рамках классификации, приведенной на июль 2003 года

1.1. «1. Борьба за интероперабельность»

1.1.1. Только факты

25 августа. 0-In открывает свой патент в Assertion-Based Verification. Патент США с номером 6,609,229 и названием «Метод для автоматической генерации чекеров для нахождения функциональных дефектов в описании микросхемы» описывает методы спецификации и генерации assertions при использовании в симуляции (www.0-in.com).

1 сентября. Конференция JEDEX в Китае 21-22 октября. Основные темы: качество и надежность, беспроводные технологии, оперативная память (DIMM, DDR1, DDR2). JEDEC— ведущий разработчик стандартов, объединяющий 1800 представителей 275 компаний в 50 комитетах JEDEC. Все стандарты, выработанные JEDEC, распространяются бесплатно с сайта www.jedec.org (www.jedexchi-na.org).

2 сентября. Xilinx выпускает два новых интерфейса (Multi-Rate SDI и HD-SDI) для улучшения цифровой последовательной передачи видеоинформации (www.cook-tech.com/ctxil103.html, www.xilinx.com).

4 сентября. IBM сделала свой вклад в стандартизацию процесса проектирования чипов. Accelera — организация по выработке стандартов для EDA утвердила PSL 1.01 (Property Specification Language), разработанный на базе языка Sugar от IBM, в качестве официального стандарта (www.haifa.il.ibm.com/ projects/verification/sugar/psl.html).

23 сентября. Cadence и Mentor приостанавливают спор о патенте на эмуляцию и аппаратную акселерацию. Компании достигли соглашения, что в течение 7 лет не будет взаимных претензий на эту тему. Mentor выплатит Cadence $18 млн. Кроме того, Mentor присоединится к Cadence в OpenAccess Coalition (www.mentor.com, www.cadence.com).

1.1.2. Обобщения и выводы

Борьба за стандартизацию продолжается,

причем самыми разными методами: организация конференций по стандартизации (JEDEC), максимальная открытость языка и инструменальных средств (IBM/Sugar, Xilinx/Multi-Rate SDI и HD-SDI, открытие патента фирмой 0-In), и, наконец, обращение в суд — в результате судебного разбирательства Mentor «уговорили» вступить в коалицию OpenAcess, лидером которой является Cadence.

1.2. «3. Требуются и разрабатываются средства отладки однопроцессорных систем — SoC-платформ: процессор + память + программируемая логика»

1.2.1. Только факты

4 августа. Altera выпускает Nios Development Kit для FPGA Stratix, который включает встроенный процессор Nios версии 3.02, FPGA Stratix с 40 000 логических элементов и более 3 Мбит внутрикристальной памяти (www.altera.com/ devkits).

6 августа. Aspex Technology выбрала средства проектирования от Cadence. Aspex Technology разрабатывает масштабируемые низкопотребляющие процессоры для цифровой обработки сигналов.

12 августа. Cypress MicroSystems анонсирует CY8C27x — SoC для управляющих приложений. SoC содержит 8-битный процессор

24 МГц, 16 кбайт флэш-памяти, 256 байт SRAM, 8x8 умножитель и 32-битный аккумулятор. Его можно реконфигурировать «на лету» (www.cypressmicro.com).

20 августа. Xilinx продала микросхем FPGA на два млрд долл. Наибольшим спросом пользуются микросхемы Virtex-II Pro (www.xilinx.com).

2 сентября. Summit Design и ARM создают платформу для совместного проектирования и верификации Visual Elite ESC на базе SystemC. Summit Design лицензировала ARM CCMs (Cycle-Callable Models) для распространения совместно со своим продуктом Visual Elite ESC (www.arm.com, www.sd.com).

4 сентября. Coware добавила модель процессора ARM1136J-S в библиотеку моделей ConvergenSC (www.coware.com, www.arm.com).

8 сентября. Cadence и CoWare объединяют усилия для унификации методологии проектирования систем на кристалле. Первая цель — интегрировать продукты ConvergenSC и LISATek от Coware и Incisive от Cadence, обеспечив в перспективе интероперабельность решения с IP-компонентами, в том числе от ARM. Cadence переместит на CoWare свою группу разработчиков Signal Processing Worksystem (SPW). Cadence планирует инвестиции в CoWare (www.coware.com, www.cadence.com).

9 сентября. Magma помогла Toshiba выпустить 2,5-миллионовентильную SoC всего за 4 недели (www.magma-da.com).

17 сентября. Toshiba изготовила высокопроизводительный 6-миллионовентильный ASSP с помощью Synopsys DFT Compiler SoCBIST (www.synopsys.com).

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

1.2.2. Обобщения и выводы Сообщения в данном разделе можно разделить на две группы:

1. О растущих потребностях проектирования реальных систем на кристалле: начинающая компания Aspex Technology проектирует низкопотребляющие процессоры для ЦОС; Cypress MicroSystems выпустила новую SoC; Xilinx продала огромное количество микросхем FPGA, наиболее популярны Virtex-II Pro, изюминкой которых явя-лется наличие процессора (PowerPC или MicroBlaze); Toshiba выпускает SoC и ASSP.

2. О развитии средств проектирования SoC: Altera выпускает средства разработки для

SoC на базе своих FPGA Stratix с встроенным процессором Nios; Summit Design и ARM обеспечивают поддержку процессоров ARM в Visual Elite ESC; Coware добавила модель ARM1136J-S в свой продукт ConvergenSC; Cadence вкладывает средства в развитие Coware.

1.3. «5. От C++ к HDL и обратно»

1.3.1. Только факты

3 сентября. На Programmable World 2003 Celoxica представит последнюю версию своего DK Design Suite, предназначенного для проектирования систем программированием ее функций, подчеркивая интероперабельность средств Celoxica, Wind River Systems и Xilinx (www.celoxica.com).

22 сентября. Celoxica анонсирует новый инструментарий синтеза «из C в FPGA». PDP (Platform Developer's Package) позволяет инженерам преобразовывать С-алгоритмы в плату и оценивать их (www.celoxica.com).

29 сентября. Celoxica и Xilinx выпускают средства проектирования нового поколения для FPGA Virtex-II Pro c процессорами Power PC и MicroBlaze. DK от Celoxica включен в Xilinx ISE Embedded Development Kit v6.1 (www.celoxica.com, www.xilinx.com).

1.3.2. Обобщения и выводы

Celoxica — безусловный лидер в разработке средств проектирования аппаратного обеспечения на базе программных описаний на языке C. Интересно отметить, что она расширяет сферу своего применения, пытаясь поддержать автоматизацию разбиения задач между аппаратным и программным обеспечением, а также обеспечивая совместную симуляцию, эмуляцию и отладку программного и аппаратного обеспечения. Справедливости ради необходимо отметить, что из сообщений следует, что пока только Celoxica и пользуется своими продуктами. Что это означает? Инженеры не готовы к использованию подобных продуктов? Или разработки Celoxica «не дотягивают» до требований реальной эксплуатации? Время покажет.

1.4. «6. IP-компоненты процессоров.

6.1. ARM шагает по планете»

1.4.1. Только факты

1 августа. ARM купила Adelante Technologies Belgium — компанию из 25 сотрудников, разработавшую технологию AIRT, которую ARM намерена использовать для проектирования оптимизированных процессорных блоков с целью ускорения приложений, требующих значительной производительности обработки данных (www.arm.com).

3 сентября. LSI Logic разработала и распространяет первое синтезируемое ядро процессора ARM1026EJ-S, работающее на частоте 333 МГц (www.lsilogic.com).

17 сентября. ARM и Cadence поддержали использование процессоров ARM в Silicon Design Chain (www.cadence.com, www.arm.com).

22 сентября. Сотрудничество ARM и Synopsys: выпущена ARM-Synopsys

Reference Methodology версии 4.1, включающая оптимизированные под продукты Synopsis ядра процессоров ARM7TDMI-S, ARM7EJ-S, ARM926EJ-S, ARM946E-S,

ARM966E-S, ARM1026EJ-S и ARM1136JF-S (www.arm.com, www.synopsys.com).

Компоненты и технологии, № 9'2003

1.4.2. Обобщения и выводы

ARM продолжает свое наступление по всем фронтам: процессоры ARM лицензируются и имплементируются (LSI Logic); ARM развивает сотрудничество с корифеями рынка средсв EDA (Cadence, Synopsis); наконец, ARM покупает компании для углубления своих исследований и развития новых направлений (бельгийская Adelante Technologies,).

1.5. «6.2. MIPS—с отставанием от ARM, но с опережением всех остальных»

1.5.1. Только факты

8 сентября. Genesys Logic лицензирует у MIPS Technologies MIPS32 4Kc и 4Kp для использования в высокоскоростных SoC. Genesys Logic планирует разрабатывать SOHO gateways и сетевые устройства памяти (www.genesyslogic.com/GL816.htm).

1.5.2. Обобщения и выводы

Очередная фирма (Genesys Logic) лицензировала процессоры MIPS.

1.6. «6.3. И другие процессорные ядра»

1.6.1. Только факты

26 августа. Synopsys выпустила синтезируемое описание 8-битного микроконтроллера 6811 (www.designware.com, www.synopsys.com).

1.6.2. Обобщения и выводы

Выполнена синтезируемая модель процессора 6811 и упоминается наличие синтезируемых молей процессоров 8051 и Star IP. Стимулом для создания синтезируемых моделей таких известных процессоров, как 6811 и 8051 является наличие наработанного системного и прикладного программного обеспечения и самых разнообразных схемных решений. Очевидная цель — перевод соответствующих реально работающих микропроцессорных систем на новую технологическую базу (то есть их «реинжиниринг» на кристалле) для повышения производительности, сокращения стоимости и энергопотребления.

1.7. «7. В мире ПЛИС. 7.1. ПЛИС расширяют сферу применения»

1.7.1. Только факты

4 августа. Lattice Semiconductor выпустила ispXPLD 51024MX и ispXPLD 5256MX. ispXPLD 51024MX имеет до 1024 логических макроячеек и 512 кбит памяти. ispXPLD 5256MX имеет до 256 логических макроячеек и 128 кбит памяти (www.latticesemi.com).

25 августа. Actel анонсирует флеш-FPGA ProASIC Plus, которые протестированы на работу в «военном» температурном диапазоне (-55... +125 °С). Плотность от 300 тыс. до 1 млн системных вентилей, низкое энергопотребление, секретность проекта, до 198 кбит двухпортовой встроенной SRAM, 712 контактов ввода-вывода, конфигурируемых пользователем, внутрисхемная программируемость — дополнительные достоинства FPGA ProASIC Plus для применения в военных целях (www.actel.com).

10 сентября. Altera выпускает EP1C4 — очередную FPGA семейства Cyclone (www. altera.com).

15 сентября. Altera анонсирует планы по 90-нанометровым FPGA. По технологии 90 нм планируется выпускать Stratix II (с плотностью более 140 тыс. логических элементов). Затем, к середине 2004 года,

по этой технологии планируется выпустить Cyclone II (www.altera.com).

18 сентября. Cadence переходит на технологию 90 нм с помощью Chartered Semiconductor Manufacturing — одной из крупнейших фирм-изготовителей чипов с производственными мощностями в Сингапуре (www.charteredsemi. com, www.cadence.com).

18 сентября. Synopsys переходит на технологию 90 нм с помощью Chartered (www.synopsys.com).

18 сентября. Mentor Graphics переходит на технологию 90 нм с помощью Chartered (www.mentor.com).

18 сентября. Virage Logic переходит на технологию 90 нм с помощью Chartered (www.viragelogic.com).

1.7.2. Обобщения и выводы

Lattice отметилась выпуском быстрых CPLD. Actel выпускает FPGA, соответствующую требованиям военных, Altera пополняет свое семейство FPGA Cyclone и анонсирует планы по созданию FPGA новых семейств — Stratix II и Cyclone II — по технологии 90 нм. Cadence, Synopsis, Mentor и Virage Logic намерены поддержать проектирование по технологии 90 нм с помощью Chartered Semiconductor Manufacturing (Сингапур).

1.8. «7.2. Развитие средств проектирования ПЛИС»

1.8.1. Только факты

4 августа. Actel улучшает IDE Libero для FPGA ProASIC Plus. Libero 5.0 включает улучшенные средства синтеза и размещения от Synplicity и Actel (www.actel.com).

4 августа. Синтезатор Synplify 7.3 улучшает поддержку ProASIC Plus.

5 августа. Xilinx выпускает JBits — бесплатное ПО для реконфигурации Virtex-II во время работы (www.xilinx.com).

26 августа. Intrinsix включилась в программу FPGA Advantage Solutions Thrust (FAST) фирмы Mentor Graphics (www.intrinsix.com).

8 сентября. Новая версия софта от Xilinx — ISE 6.1i (www.xilinx.com).

9 сентября. Synplicity поддержала выпуск Xilinx ISE 6.1i: Synplicity Synplify, Synplify Pro, Amplify могут работать непосредственно из Xilinx ISE 6.1i (www.synplicity.com).

9 сентября. Mentor Graphics выполнила бесшовную интеграцию FPGA Adavantage и Xilinx ISE 6.1i (www.xilinx.com, www.mentor. com).

15 сентября. Новая платформа Virtuoso от Cadence. Среди основных достоинств Virtuoso — смешанная симуляция (цифровых, аналоговых, RF-компонентов), ускоренное размещение на чипе, анализ чипа, поддержка OpenAccess, поддержка различных методологий проектирования (www.cadence.com).

24 сентября. Avnet присоединилась к FAST Partner Program фирмы Mentor Graphics (www.em.avnet.com/cilicon).

25 сентября. Cadence и dSPACE (Германия) сотрудничают в создании распределенного потока проектирования для автомобильных приложений (www.cadence.com).

1.8.2. Обобщения и выводы

Разрыв между огромными физическими возможностями чипов и низким уровнем ав-

томатизации их проектирования является мощным стимулом развития инструментов разработки.

1.9. «7.3. Направления и примеры применения — устройства на базе ПЛИС.

7.3.2. Телекоммуникации»

1.9.1. Только факты

15 сентября. Synopsys и Artisan сотрудничают в валидации интероперабельной архитектуры PCI Express. Планируется изготовление аппаратной платформы (www.synopsys. com, www.artisan.com).

15 сентября. Synopsys и Rambus сотрудничают в создании интероперабельных решений PCI Express между Rambus RaSer PHY и Synopsis DesignWare PCI Express Endpoint Controller Core (www.synopsys.com, www. rambus.com).

1.9.2. Обобщения и выводы

Synopsis выпускает реализацию PCI Express на базе FPGA.

1.10 «8. IP-компоненты для ПЛИС и ASIC.

8.1. DSP-обработка»

1.10.1. Только факты

1 августа. Actel расширяет свой пакет IP-компонентов для DSP с помощью компании 4i2i Communications (www.4i2i.com, www.actel.com).

1 августа. Новые DSP-компоненты от Xilinx для проводных и беспроводных устройств — CDMA2000/3GPP2 Turbo Convolutional Code (TCC) Encoder и Decoder для беспроводных структур, DOCSIS ITU-T J.83 Annex B Modulator — для кабельных модемов (www. xilinx.com).

1.10.2. Обобщения и выводы

IP-компоненты для цифровой обработки

сигналов медленно, но верно прокладывают дорогу.

1.11. «8.2. Телекоммуникации»

1.11.1. Только факты

25 августа. Altera FPGA Stratix GX обеспечивают передачу 11 Gbps при использовании с Ethernet-устройствами Xenon от PMC-Sierra. Разработанный на Altera IP-компонент POS-PHY Level 4 MegaCore оптимизирован для Stratix GX (www.altera.com, www.pmc-sierra.com).

26 августа. Synopsis выпустила IP-компонент USB 2.0 PHY, сертифицированный к изготовлению по технологии 0,13 мкм (www. synopsys.com).

27 августа. Texas Instruments приобрела IP-компонент USB On-The-Go у фирмы Mentor Graphics (www.mentor.com, www.ti.com).

3 сентября. Altera и MorethanIP анонсируют первые IP-компоненты для оптических каналов 1 или 2 Gbps (www.morethanip.com, www.altera.com).

16 сентября. Xilinx выпускает IP-компонент PCI Express версии 2.0 (www.xilinx.com/ connectivity).

1.11.2. Обобщения и выводы

В век компьютерных сетей возможность быстро передавать информацию не менее важна, чем возможность ее быстро обрабатывать. Altera разработала IP-компонент для последовательной передачи со скоростью 11 Gbps, Synopsys выпустила IP-компонент USB 2.0, Xilinx выпустила IP-компонент PCI Express (версии 2.0).

Компоненты и технологии, № 9'2003

Приятно отметить также наличие сообщения о приобретении IP-компонента: Texas Instruments приобрела USB On-The-Go у фирмы Mentor Graphics.

1.12. «8.3. Шифрование»

1.12.1. Только факты

2 сентября. Altera и Alcahest обеспечивают беспрецедентный уровень производительности шифрования с помощью IP-компонента RSA для FPGA Cyclone — 6 Mbps для приложений IPSec (www.alcahest.com, www.altera. com).

1.12.2. Обобщения и выводы

По мере расширения использования электронных средств при обработке конфиденциальной информации растет потребность в «защищенных» устройствах.

1.13. «8.4. Как распространяются IP-компоненты»

1.13.1. Только факты

1 августа. FSA анонсирует инициативу 2003 SIP (Semiconductor Intellectual Property) (www.fsa.org).

27 августа. Faraday и UMC расширяют предложения верифицированных IP-компонентов под изготовление на UMC по технологиям

0,18, 0,15 и 0,13 мкм (www.faraday.com.tw, www.umc.com).

2 сентября. Lattice анонсирует программу «ispLeverCORE Connection IP Partners Program» (www.latticesemi.com).

15 сентября. IMEC EuroPractice и Virtual Silicon расширяют соглашение по IP-компонентам. Virtual Silicon становится эксклюзивным поставщиком IP-компонентов для IMEC по технологиям 0,25, 0,18 и 0,13 мкм (www.europractice.imec.be, www.imec.be, www.virtual-silicon.com).

1.13.2. Обобщения и выводы

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Количество разработанных и предлагаемых потребителям IP-компонентов растет, однако динамика их приобретений требует активизации и поиска новых форм распространения. FSA проводит специальный семинар, Faraday и UMC объединяют усилия, Lattice анонсирует программу «IP-партнеры», IMEC EuroPractice передает эксклюзивные права на распространение разработанных IP-компонентов специализированной фирме Virtual Silicon.

1.14. «9. Верификационные IP-компоненты. 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity — разработчик e и Specman Elite, верификационные компоненты на языке e — eVC»

1.14.1. Только факты

4 августа. National Semiconductor выбрал Specman Elite фирмы Verisity для верификации SoC и IP.

11 августа. Интеграция Specman Elite от Verisity и ZeBu от EVE ускорила верификацию в 1000 раз (www.eve-team.com, www. verisity.com).

9 сентября. Mentor Graphics и Verisity создают средства верификации IP-компонентов из Inventra. Средства верификации содержат исполняемые чекеры и сценарии покрытия, которые обеспечивают корректную интеграцию IP-компонентов (www.verisity.com, www.mentor.com).

10 сентября. Mentor Graphics выбрала Paradigm Works для совместной разработки средств поддержки верификации. Paradigm Works предлагает продвинутую технологию разработки верификационных компонентов, позволяющую пользователям быстро интегрировать в свои устройства IP-компоненты с гарантированным контролем качества интеграции (www.paradigm-works.com, www. mentor.com).

15 сентября. Verisity выпускает eAnalyzer в поддержку стандарта IEEE p 1647 (www. verisity.com).

15 сентября. Verisity выпускает верификационный компонент (eVC) для PCI Express.

15 сентября. Verisity упрощает верификацию SoC, выпуская sVM (System Verification Methodology). sVM включает полное руководство по разработке средств верификации (www.verisity.com).

1.14.2. Обобщения и выводы

Verisity активно внедряет свои продукты и технологии: National Semiconductor купила у Verisity верификационные компоненты и среду верификации Specman Elite; Paradigm Works разрабатывает верификационные компоненты на языке e, созданном Verisity. Verisity интегрирует свои продукты с ZeBu (отладчик/эмулятор) и Mentor (библиотека IP-компонентов Inventra); Verisity выпускает новые верификационные компоненты (в том числе для PCI Express), новый продукт eAnalyzer и новую методологию верификации — sVM.

1.15. «9.2. Другие языки и средства верификации симуляцией»

1.15.1. Только факты

11 августа. Novas улучшает поддержку отладки для Synopsys VCS в своих продуктах Debussy и Verdi (www.novas.com).

13 августа. FR-HiTEMP использует симулятор Saber от Synopsys (www.synopsys.com).

28 августа. Unichip использует систему отладки Verdi фирмы Novas (www.globalu-nichip.com, www.novas.com).

11 сентября. Mentor Graphics анонсирует DFT-поддержку при разработках на базе процессоров AMD Opteron и других процессоров архитектуры AMD64 (www.mentor.com).

15 сентября. Mentor Graphics анонсирует адаптацию TestKompress на фирме AMD при производстве тестов для проектов микропроцессоров новых поколений. TestKompress — средство разработки встроенных детерминированных тестов для процессоров, обеспечивает сжатие тестов в 100 раз и сокращение времени тестирования в 100 раз.

15 сентября. Mentor Graphics анонсирует выбор TestKompress ведущими компаниями, включая AMD, Ricoh и Renesas.

22 сентября. Mentor Graphics анонсирует повышенную производительность FastScan. FastScan — пакет средств автоматической генерации тестов.

22 сентября. Procket Networks выбирает FastScan от Mentor Graphics (www.procket.com).

1.15.2. Обобщения и выводы

Novas обновляет Debussy и Verdi — средства симуляции и отладки проектов. Повышается востребованность средств автоматической ге-

нерации тестов (FastScan от Mentor) и сжатия статических детерминированных тестов для процессоров (TestKompress от Mentor), других средств DFT (Design-for-Test).

1.16. «9.3. Средства формальной верификации»

1.1.1. Только факты

29 сентября. Mentor Graphics анонсирует Formal Pro MP — масштабируемую мультипроцессорную систему формальной верификации (www.mentor.com).

1.16.2. Обобщения и выводы

Формальная верификация реальных проектов требует значительного количества вычислений, поэтому переход на распределенную систему является хорошим способом снять ограничение на пиковую производительность такой системы.

1.17. «10. Прототипирование, эмуляция и отладка ПЛИС и ASIC. 10.1. Отладка проектов для ПЛИС»

1.17.1. Только факты

23 сентября. EVE и Synplicity сотрудничают для ускорения верификации SoC прототипированием. Certify и Synplify Pro будут интегрированы с ZeBu фирмы EVE (www. eve-team.com).

1.17.2. Обобщения и выводы

Высокая стоимость ошибок при изготовлении ASIC стимулирует развитие все новых и новых средств прототипирования ASIC с помощью FPGA.

1.18. «11. Отладка программного обеспечения для микроконтроллеров. 11.4. Мультимедиа-микроконтроллеры»

1.18.1. Только факты

8 сентября. Texas Instruments выпускает TMS320DM64x для цифровой обработки сигналов (www.ti.com/dmdklaunch).

10 сентября. Intel в кооперации с Xerox Corporation разработала новые процессоры (Intel MXP5800 и Intel MXP5400) для устройств цифровой обработки образов (www. intel.com, www.xerox.com).

16 сентября. Мультимедийный процессор

i.MX21 от Motorola инициирует новую волну коммуникационных устройств, комбинируя возможности мультимедиа, связи и секретности для мобильных потребителей (www. motorola.com).

23 сентября. Atmel выпускает AT76C113 — процессоры обработки образов следующего поколения (www.atmel.com).

1.18.2. Обобщения и выводы

Обработка мультимедиа-информации — насущная потребность, как результат — растет и предложение нужных устройств — Texas Instruments выпускает TMS320DM64x; Intel вместе с Xerox выпускает Intel MXP5800/5400; Motorola выпускает i.MX21; а Atmel выпускает AT76C113. Эти и другие устройства дают разработчикам прикладных мультимедийных систем широкий выбор по производительности, стоимости, размерам, потребляемой мощности.

1.19. «11.5. Другие новости мира микроконтроллеров»

1.19.1. Только факты

1 августа. TI выпускает одночипный МК MSP430FE42x для электронных измерений (www.ti.com).

Компоненты и технологии, № 9'2003

12 августа. Accelerated Technology и Sankhya Technologies выпускают CORBA Middleware для Nucleus (www.sankhya.com, www.acceler-atedtechnology.com).

16 сентября. Atmel выпускает два новых члена семейства микроконтроллеров TinyAVR — tiny13 и tiny2313. Оба микроконтроллера (МК) имеют встроенные средства внутрикристальной отладки, что позволяет вести отладку уже после изготовления схем (www.atmel.com).

24 сентября. Motorola объединила флэш-микроконтроллеры с аналоговыми микросхемами для автомобильных приложений. Протокол LIN (Local Interconnect Network) предназначен для реализации дешевых последовательных соединений в автомобильной промышленности. Процессоры MM908E624 и MM908E625 фирмы Motorola базируются на архитектуре микроконтроллера HC908 (www.motorola.com).

1.19.2. Обобщения и выводы

Несмотря на развитие SoC-технологий, микроконтроллеры были и остаются ключевыми компонентами разработок. В тоже время фирмы, разрабатывающие и выпускающие микроконтроллеры, в новых чипах пытаются интегрировать больше возможностей: Texas Instruments интегрировала на своем МК MSP430FE42x до 32 кбайт флэш-памяти и до 1024 байт RAM; Motorola в добавление к флэш-памяти внедрила в свои МК MM908E624 и MM908E625 развитые средства управления аналоговыми выходами; Atmel внедрила в свои МК tiny13 и tiny2313 встроенные средства внутрикристальной отладки.

1.20. «12. Обучение — ключ к продаже.

12.1. Очные семинары»

1.20.1. Только факты

15 августа. Novas Software в августе-ноябре проводит в США серию семинаров по своим средствам отладки (www.novas.com).

21 августа. Xilinx и Texas Instruments проводят серию обучающих семинаров по технологиям DSP+FPGA (www.xilinx.com, www.ti.com).

3 сентября. Серия совместных семинаров 0-In Design Automation и Verisity, посвященных будущему верификации (www.verisity. com, www.0-in.com).

8 сентября. Altera анонсирует конференции Global SOPC World 2003. Их проведение планируется в Северной Америке, Европе и Азии (www.altera.com).

10 сентября. Insight и Xilinx анонсируют X-Fest 2003. С начала октября до середины декабря в 37 городах Северной Америки пройдут семинары и демонстрации достижений Xilinx и ее парнеров (www.insight.na.memec. com, www.xilinx.com).

23 сентября. Технические семинары по SystemVerilog (www.systemverilognow.com).

25 сентября. Altera анонсирует SOPC World 2003 в Северной Америке (www.altera.com/ sopcworld_na).

25 сентября. Altium продемонстрирует технологию «Board-on-Chip» на Altera SOPC World 2003 (www.altium.com, www.altera.com).

1.20.2. Обобщения и выводы

В современных рыночных условиях мало

сделать хороший товар, необходимо также

донести информацию о нем до потребителя, более того, нужно убедить потребителя в том, что этот товар действительно хорош. Для средств автоматизации проектирования и сложных устройств необходимо также научить потребителя пользоваться ими. На сегодня, наверное, нет лучших средств для всех перечисленных целей, чем проведение серий тематических семинаров.

1.21. «12.3. Университетские программы»

1.21.1. Только факты

11 сентября. Verisity существенно расширяет университетскую программу. В нее включены 22 новых университета, всего теперь в программе 45 участников (www.verisity.com).

25 сентября. Cadence в Московском институте электронных технологий. Завершилась начавшаяся год назад первая программа обучения студентов МИЭТ средствам и технологиям проектирования Cadence, профинансированная компанией Cadence. Компания поставила компьютерное оборудование и программные лицензии, профинансировала оплату преподавателям и стипендии студентам, а также обеспечила техническую документацию и обучение. Все студенты, прошедшие обучение, получили предложение работы (www.cadence.com).

1.21.2. Обобщения и выводы

Verisity отметилась расширением университетской программы. Отрадно также отметить, что, наконец, в поле зрения университетских программ попала и Россия. Cadence сотрудничает с МИЭТ (Московский институт электронных технологий) в подготовке специалистов в области автоматизации проектирования, уже вложила немало средств. Процесс показался успешным и предполагается его продолжение. Вскользь отмечено, что с 1995 года в МИЭТ работает и Motorola.

1.22. «12.6. Комплексная (многовидовая) служба поддержки»

1.22.1. Только факты

29 сентября. Fujitsu и Synopsys создали в Японии совместную сервисную службу для проектирования SoC (www.fujitsu.com, www.synopsys.com).

1.22.2. Обобщения и выводы

Другой способ продвижения своих разработок на рынке Японии использовала Synopsys, создав совместно с Fijitsu сервисную службу для проектирования SoC.

1.23. «13. Другие ключи к продаже.

13.1. Передача маркетинга на сторону»

1.23.1. Только факты

4 августа. Aldec выбрала Soliton Systems в качестве эксклюзивного провайдера в Японии (www.soliton.co.jp, www.aldec.com).

11 сентября. SynTest выбирает Logicad в качестве дистрибьютора в Индии (www. syntest.com, www.logicad.us).

1.23.2. Обобщения и выводы

Третий способ — передача маркетинговых функций сторонним компаниям — использовали Aldec (Solution Systems в Японии) и SynTest (Logicad в Индии).

1.24. «13.2. Расширение географии»

1.24.1. Только факты

7 августа. Cadence во второй раз организовывает конференцию в Азии — ACTS 2003

(Asia Cadence Technology Symposium), которая проходила с 26 августа по 5 сентября на Тайване. В ней приняли участие более 1600 специалистов (acts.cadenceasia.com).

13 августа. Центр проектирования микросхем Suzhou CAS (Китай) выбрал средства разработки от Cadence (www.cadence.com).

13 августа. Verisity проводит в августе-октябре серию семинаров по верификации в Северной Америке (США), Европе (Германия, Англия, Франция) и Азии (Япония, Китай, Тайвань, Индия) (www.verisity.com).

26 августа. Mentor Graphics анонсирует серию семинаров в США, Канаде, Европе, Тихоокеанском регионе и Японии (www.mentor. com).

15 сентября. OEA International расширяется в Индию, Израиль и Европу (www.oea. com).

1.24.2. Обобщения и выводы

Четвертый способ увеличения продаж — расширение рынка сбыта. Им попытались воспользоваться: Cadence, организовав конференцию ACTS 2003 в Азии и сотрудничая с центром проектирования микросхем в Китае; Verisity, проводя семинары не только в Северной Америке, но и в Европе и Азии; Mentor — проводит семинары в США, Канаде, Европе, Тихоокеанском регионе и Японии. ОЕА International завела дистрибьюторов в Индии и Израиле и открыла представительство в Европе.

1.25. «13.5. On-line — семинары»

1.25.1. Только факты

5 августа. Mentor Graphics проводит очередной веб-семинар (www.mentor.com/ investor_relations).

28 августа. Internet-выступление руководителя Synopsys (Steve Shevick) планируется

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

3 сентября в рамках Boston Global Software Conference (www.synopsys.com).

1.25.2. Обобщения и выводы

On-line семинары по количеству пока сильно проигрывают своим «традиционным» собратьям. Тем не менее, они есть: Mentor и Synopsys провели по одному такому семинару.

1.26. «14. Интернет-технологии на службе EDA-индустрии»

1.26.1. Только факты

18 августа. Atrenta позволяет использовать свой SpyGlass посредством веб-технологий в режиме 24x7. SpyGlass использует уникальную технологию предсказательного анализа текстов VHDL/Verilog для раннего обнаружения проблем проектирования (www.atrenta.com).

1.26.2. Обобщения и выводы

Цены на EDA-средства разработки чрезвычайно высоки (до сотен тысяч долларов), поэтому в стремлении расширить рынок сбыта некоторые EDA-разработчики (как, например, Atrenta) идут на организацию доступа к своим продуктам на условиях «арендной платы». Идеальный вариант — предоставление таких услуг через Интернет. Отметим, что в таком варианте появляются и дополнительные удобства и для пользователя, и для поставщика подобных услуг: у пользователя «не болит голова» об установке и настройке

Компоненты и технологии, № 9'2003

такого программного обеспечения, поддержке его в работоспособном состоянии и устранении конфликтов с аппаратным и другим программным обеспечением. У поставщика уменьшается объем работы на «горячих линиях» по проблемам, возникающим у пользователей при попытках решать вышеозначенные проблемы. Упрощается процесс устранения ошибок и обновления версий программного обеспечения. Справедливости ради необходимо отметить, что при таком «арендном» подходе возникают и новые проблемы — например, обеспечение конфиденциальности информации о разрабатываемых проектах.

1.27. «15. Специализированные СБИС.

15.1. Передача данных»

1.27.1. Только факты

1 августа. Pericom выпустила свичи 500 МГц с поддержкой USB 2.0. Обеспечивается передача данных со скоростью 480 Мбит/с (www.pericom.com).

I августа. Zarlink выпустила чип ZL30410, который генерирует тактовые сигналы для оптических свичей (products.zarlink.com).

5 августа. ZyDAS с помощью UMC выпускает чип ZD1202 для 802.11b. ZyDAS Technology — это fabless-компания (Тайвань) (www.zydas.com.tw, www.umc.com).

II августа. Cypress выпускает WirelessUSB LS — RadioSoC с ценой менее $2 и рабочей частотой 2,4 ГГц. Сигналы передаются на расстояние до 10 метров со средней задержкой менее 4 мс (www.cypress.com).

19 августа. LSI Logic выпускает HomeBASE — новый ADSL-чипсет (www.lsilogic.com).

1.27.2. Обобщения и выводы

Передача данных требует аппаратной

поддержки и получает ее от Pericom, Zarlink, ZyDAS, Cypress и LSI Logic.

1.28. «15.2. Сетевая обработка»

1.28.1. Только факты

1 августа. Mysticom и Mentor Graphics обеспечивают 10-гигабитное соединение с Ethernet с помощью чипа MY3104 (www. mysticom.com, www.mentor.com).

7 августа. Zarlink выпускает ZL50408 — новое семейство скоростных Ethernet-свичей (www.zarlink.com).

10 сентября. Agere Systems использовала платформу проектирования Galaxy от Synopsys при изготовлении сетевого процессора 5G APP550 по технологии 0,13 мкм (www. synopsys.com).

16 сентября. Cadence анонсирует средства разработки для сетевого процессора Intel IXP2800 (www.cadence.com).

17 сентября. Zarlink выпускает однокристальный пакетный процессор ZL50111, обеспечивающий TDM-to-IP/Ethernet. Это процессор с сокращенными размерами и стоимостью за счет разделения трафика во времени (TDM — Time-Division Multiplex) (news.zarlink.com).

1.28.2. Обобщения и выводы

Один из ключевых моментов сетевой обработки — манипуляция пакетами — также продолжает требовать и получать все новые устройства: от Mysticom и Mentor Graphics, Zarlink, Agere Systems, Intel.

1.29. «15.3. Цифровое телевидение»

1.29.1. Только факты

1 августа. Zarlink выпустила новый низкопотребляющий чип ZL 0312 демодуляции спутниковых сигналов цифрового телевидения для Азии. Новый демодулятор автоматически сканирует спутниковые широковещательные сигналы в течение нескольких минут. Демодулятор может быть перенастроен на рынки Европы и США (www.zarlink. com).

4 сентября. LSI Logic выпускает платформу H.264/MPEG-4 AVC (www.lsilogic.com).

1.29.2. Обобщения и выводы

ZarLink и LSI Logic выпустили специализированные чипы для цифрового телевидения.

1.30. «15.4. Емкая и быстрая память для мобильных устройств»

1.30.1. Только факты

26 августа. Infineon выпустила первые образцы самой маленькой в мире памяти (1 Gbit Double Data Rate SDRAM), выполненной по технологии 110 нм (www.infineon. com).

3 сентября. Affymetrix GeneChip CustomExpress — новый стандарт емкости данных. Новый чип, выполненный по технологии 11 мкм, содержит 1,3 млн вентилей, что почти в три раза больше, чем у предыдущего чипа (www.affymetrix.com).

1.30.2. Обобщения и выводы

Объемы хранимых данных возрастают в геометрической прогрессии. Устройства хранения данных явно не поспевают за реальными потребностями.

1.31. «15.5. Цифровая камера»

1.31.1. Только факты

6 августа. HSIM фирмы Nassda ускоряет симуляцию проекта одночиповой камеры фирмы TransChip (www.transchip.com, www. nassda.com).

1.31.2. Обобщения и выводы

TransChip разрабатывает однокристальную цифровую камеру.

2. Новости, развивающие классификацию

2.1. «10.4. Отладчики проектов на кристалле»

2.1.1. Только факты

8 сентября. Intellitech Corporation выпустила отладчик NEBULA Silicon Debugger. NEBULA сокращает время на отладку тестовых векторов с недель до дня (www.silicondebug.com, www.intellitech.com).

15 сентября. Silicon Logic Engineering и Mentor Graphics сотрудничают в создании систем эмуляции нового поколения (www. siliconlogic.com, www.mentor.com).

2.1.2. Обобщения и выводы

Несмотря на все успехи симуляции на системном, архитектурном уровне и уровне регистровых передач, реализованное в чипе устройство в значительном количестве случаев (по экспертным оценкам в 60% случаев) содержит ошибки. Для поиска таких ошибок требуются специальные средства, интегрирующие отладочные схемные решения и интегрированную среду разработки проекта.

NEBULA от Intellitech — вариант такого средства, в свою очередь Mentor и Silicon Logic Engineering начали создавать подобную систему.

2.2. «11.6. Операционные системы для встроенных приложений»

2.2.1. Только факты

2 сентября. Accelerated Technology увеличивает свое проникновение на рынок мобильных устройств, поддерживая в своей Nucleus RTOS видеоплатформу Alphamosaic на базе видеопроцессора VC01 (www. alphamosaic. com, www.acceleratedtechnology. com).

15 сентября. Accelerated Technology выпускает Nucleus 802.11 STA, поддерживающий Wireless Ethernet (www.wi-fi.org, www.accel-eratedtechnology.com).

15 сентября. Accelerated Technology и Xilinx обеспечивают работу Nucleus RTOS на встроенном в Xilinx FPGA процессоре MicroBlaze (www.xilinx.com).

16 сентября. Accelerated Technology предлагает полную RTOS и средства разработки для новейшего семейства процессоров Motorola PowerQUICC III (MPC8560).

16 сентября. Accelerated Technology предлагает RTOS для сетевых процессоров семейства Intel IXP4XX, начиная с Intel IXP425.

17 сентября. Insignia лицензировала Nucleus RTOS у Accelerated Technology (www. insignia.com).

17 сентября. Nucleus RTOS от Accelerated Technology была использована при разработке ADTS 505 (Air Data Test Set) фирмой Druck Limited (England) (www.druck.com).

2.2.2. Обобщения и выводы

Операционные системы для встроенных приложений, в том числе и Nucleus RTOS от Accelerated Technology упоминались в новостях и ранее. Однако так интенсивно, пожалуй, впервые. Более того, превалируют новости о новых возможностях: поддержка видеоплатормы Alphamosaic, реализация спецификации 802.11b для беспроводной передачи, работа на встроенном в Xilinx FPGA процессоре MicroBlaze, новом процессоре Motorola PowerQUICC III (MPC8560), сетевых процессорах Intel IXP4xx и, что еще более ценно, об их реальном использовании: фирма Druck Limited (England) использовала Nucleus RTOS при разработке ADTS 505, а фирма Insignia лицензировала Nucleus RTOS для внедрения в программное обеспечение мобильных телефонов.

2.3. «11.7. Микроконтроллеры для беспроводных сетей»

2.3.1. Только факты

9 сентября. Intel выпускает первый однокристальный процессор PXA800EF для беспроводных сетей «Wireless-Internet-on-a-Chip», который интегрирует возможности сотовых телефонов и компьютеров на одном кристалле (www.intel.com).

2.3.2. Обобщения и выводы

Очередная новая потребность для микроконтроллеров — возможность беспроводной связи с Интернет реализована фирмой Intel в ее процессоре Intel PXA800EF.

Компоненты и технологии, № 9'2003

2.4. «11.8. Микроконтроллеры с поддержкой шифрования»

2.4.1. Только факты

29 сентября. Motorola анонсирует эффективные по цене процессоры семейства PowerQUICC (MPC885 и MPC8272) с интегрированными средствами безопасности (www. motorola.com).

2.4.2. Обобщения и выводы

А Motorola внедрила в свои процессоры

PowerQUICC (MPC885 и MPC8272) криптографические возможности, откликнувшись на другой «призыв рынка» — потребность в обеспечении конфиденциальности обрабатываемой информации.

2.5. «15.6. Суперминиатюрные ИС для модификаций «last-minute»

2.5.1. Только факты

21 августа. Toshiba выпускает суперминиатюр-ные 5-выводные микросхемы для модификаций готовых плат «last-minute» (chips.toshiba.com).

2.5.2. Обобщения и выводы

Оригинальное и неожиданное устройство, подчеркивающее, что практически невозможно изготовить сложное устройство не оставив в нем хоть одной ошибки. Планируе-

мый Toshiba объем производства — 20 млн штук в год чипов «для исправления ошибок» чрезвычайно показателен.

2.6. «15.7. Цифровая аудиообработка»

2.6.1. Только факты

2 сентября. National Semiconductor выпускает интегрированную подсистему LM4930 для поддержки голоса и цифрового аудио в портативных устройствах (www.national.com).

2.6.2. Обобщения и выводы

Аудиообработка становится отдельной

сферой применения специализированных цифровых устройств.

2.7. «15.8. Самая-самая»

2.7.1. Только факты

4 сентября. NEC Electronics выбирает Synopsys. NEC Electronics планирует разработку ASIC по технологии 90 нм с частотой 1 ГГц и емкостью до 100 млн полезных вентилей (www.synopsys.com).

2.7.2. Обобщения и выводы

В этой рубрике будут приводиться разработки, «преодолевающие рубежи». Итак, сегодня рекордсмен — NEC Electronics (90 нм, 1 ГГц и 100 млн вентилей).

Заключение

Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала www.dacafe. com в период с января 2001 по сентябрь 2003 года.

Полный хронологический текст DACafe-новостей с января 2001 года можно найти на сайте NewIT.gsu.unibel.by.

Цель данных материалов — помочь участникам EDA-индустрии (создателям средств автоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонентов и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA-индустрии.

i Надоели баннеры? Вы всегда можете отключить рекламу.