Научная статья на тему 'Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур'

Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур Текст научной статьи по специальности «Науки о Земле и смежные экологические науки»

CC BY
135
23
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
НЕРОВНОСТЬ КРАЯ / ШЕРОХОВАТОСТЬ / НАНОРАЗМЕРНЫЕ СТРУКТУРЫ / ВОСПРОИЗВОДИМОСТЬ РЕЗУЛЬТАТОВ ИЗМЕРЕНИЙ / FINFET

Аннотация научной статьи по наукам о Земле и смежным экологическим наукам, автор научной работы — Шарапов А.А., Баранов Г.В.

Работа посвящена исследованию воспроизводимости результатов измерений количественных характеристик шероховатости наноразмерных структур. Предложен критерий оценки стабильности измерений, позволяющий сравнить результаты измерений, полученных на основе трёх наиболее часто используемых методик: по среднеквадратичному отклонению, по анализу функции корреляции отклонений краевых точек и по анализу графика спектральной плотности мощности в зоне низких пространственных частот. Обнаружено, что наилучшая стабильность результата достигается при определении величины неровности края по среднеквадратичному отклонению.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по наукам о Земле и смежным экологическим наукам , автор научной работы — Шарапов А.А., Баранов Г.В.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур»

УДК 531.7.08

А. А. Шарапов1'2, Г. В. Баранов1'2

1 Московский физико-технический институт (государственный университет)

2 АО «НИИМЭ»

Сравнительный анализ методик оценки количественных характеристик шероховатости наноразмерных структур

Работа посвящена исследованию воспроизводимости результатов измерений количественных характеристик шероховатости наноразмерных структур. Предложен критерий оценки стабильности измерений, позволяющий сравнить результаты измерений, полученных на основе трёх наиболее часто используемых методик: по среднеквадратичному отклонению, по анализу функции корреляции отклонений краевых точек и по анализу графика спектральной плотности мощности в зоне низких пространственных частот. Обнаружено, что наилучшая стабильность результата достигается при определении величины неровности края по среднеквадратичному отклонению.

Ключевые слова: неровность края, шероховатость, наноразмерные структуры, воспроизводимость результатов измерений, ГтГЕТ.

A. A. Sharapov1'2, G. V. Baranov1'2

1 Moscow Institute of Physics and Technology (State University) 2 Molecular Electronics Research Institute Stock Company

Comparative analysis of nanoscale roughness measurement methods

The paper is devoted to the reproducibility investigation of quantitative roughness characteristics of nanoscale structures. An estimation criterion of the measurements stability-is proposed, which makes it possible to compare the results of measurements obtained using the three most common techniques: standard deviation, correlation function analysis for boundary points deviations, and the spectral power density analysis at low spatial frequencies. It is found that the best stability of the result is achieved when determining the line edge roughness value by the root-mean-square deviation.

Key words: line edge roughness, roughness, nanoscale structures, reproducibility of measurement results, FinFET.

1. Введение

Постоянное развитие технологий изготовления КМОП интегральных микросхем выражается в масштабировании всех линейных размеров транзисторов [1]. В то же время статистический разброс значений критических размеров структур не масштабируется [2]. Такая тенденция ведет к постепенному усилению влияния негативных эффектов, связанных с статистическим разбросом, и, начиная с некоторого размерного порога, такие эффекты более недопустимо игнорировать. Теоретически и экспериментально установлено, что такой границей следует считать технологическую норму «90 нм»,

© Шарапов А. А., Варанов Г. В., 2018

(с) Федеральное государственное автономное образовательное учреждение высшего образования «Московский физико-технический институт (государственный университет)», 2018

ниже которой упомянутые размерные эффекты приводят к существенной деградации характеристик приборов и ухудшению их воспроизводимости по кристаллу [3]. В частности, неровность краев затвора МОПТ ведет к разбросу порогового напряжения транзисторов [4, 5], что вступает в противоречие с требованиями на постоянное снижение уровня порогового напряжения и неизбежно будет являться одним из главных ограничителей масштабирования кремниевой технологии микроэлектроники.

Для того, чтобы контролировать неровность краёв топологических элементов интегральных микросхем, в первую очередь необходимо адекватно давать количественную оценку величины неровности. На данный момент известно несколько способов количественной оценки показателей шероховатости наноразмерных структур. Помимо традиционного способа вычисления среднеквадратичного отклонения используются методы, учитывающие пространственную периодичность отклонений краевых точек топологического элемента. Между тем, флуктуационная картина распределения периода неровности края линии на расстояниях, превышающих размер исследуемых кадров, может сильно искажать результаты измерений. Однако в литературе отсутствуют сведения экспериментального характера о справедливости оценки пространственной периодичности, определяемой такими методиками, и как следствие - всех остальных параметров моделей.

Данная работа не ставит перед собой цели подвергнуть сомнению принципиальную применимость упомянутых методов, но предпринимается попытка анализа достоверности результатов, полученных наиболее распространёнными способами определения количественных характеристик шероховатости наноразмерных структур.

2. Параметры шероховатости и методики их оценки

Безусловно, морфология всей боковой поверхности топологических элементов определяет спектр электрофизических параметров будущих устройств. Однако применительно к технологии производства интегральных схем появление структурированных объектов в топологических слоях есть результат процессов плазма-химического травления. А в таком случае геометрия маски полностью переносится вглубь нижележащего слоя/подложки в направлении травления материала [6]. Это означает, что картина неровности края в любом плоскопараллельном срезе структур будет идентичной и, следовательно, для анализа шероховатости боковой поверхности структур достаточно лишь рассмотрения распределения отклонения боковых точек края топологического элемента в любой плоскости.

Количественным показателем отклонений краевых точек топологического элемента традиционно служат величина неровности края (ЬЕЫ) и неравномерности ширины (Ь\¥Ы) линии, определяемые по аналогии с правилом трёх сигм:

Однако применяются и другие параметры, учитывающие, в отличие от ЬЕЫ и Ь\¥Ы, пространственное распределение отклонений краевых точек элементов. К наиболее популярным методикам оценки количественных характеристик шероховатости следует отнести анализ спектральной плотности мощности и исследование функции корреляции.

Е (хгк - Хк)2

(1)

где к = 1, 2 — правый или левый края линии.

Для всей линии неровность края вычисляется по формуле:

2.1. Анализ корреляционной функции

Данный метод исследования шероховатости структур основывается на исследовании корреляции отклонений точек от прямой, аппроксимирующей край. Соотношения данных отклонений численно описываются высотно-высотной корреляционной функцией С (г), заданной на расстояниях г = тс!, где с! — период краевых точек:

G(md) =

\

1 N-т

1Гт- XI {^i+m - Si)2

N — m ' i=1

где 5г = Хг — х — поперечные отклонения краевых точек линии.

Данная функция связана с нормализованной автокорреляционной функцией соотношением:

С2(г)

т = 1 — , (2)

где г = тй — расстояния, кратные периоду краевых точек, а — среднеквадратичное отклонение точек от линейной регрессии края.

Начиная с некоторого расстояния (т.н. длина корреляции) между точками края корреляция их отклонения исчезает, т.е. автокорреляционная функция К(г) стремится к нулю, а значит, функция С(г) устанавливается на некотором постоянном уровне. Из соотношения (2) следует связь установившегося уровня вычисляемой функции С(г) и среднеквадратичного отклонения ст, равного, по определению (1), 1/3 величины ЬЕЫ.

2.2. Анализ спектральной плотности мощности

Периодичность структур оценивается также с помощью диаграммы спектральной плотности мощности (PSD), отображающей амплитуды компонент преобразования Фурье отклонений точек края исходной линии. Данный способ нахождения величины LER состоит в анализе горизонтальной части диаграммы спектральной плотности мощности в зоне низких пространственных частот. Связь с а, пропорциональной, по формуле (1), величине LER, следует из теоремы Парсеваля:

где Р(к) = / у(х)е %k^xdx — преобразование Фурье линии края.

3. Объект и методика исследования

Объектом исследования выступает плотная периодическая решётка Si плавников, являющаяся базовой структурой на пути формирования FinFET. Изображение структур в поперечном сечении представлено на рис. 1. Снимки структур в виде сверху, подлежащие количественному анализу, были получены на растровом электронном микроскопе (РЭМ) Hitachi CG4000, имеющего разрешение 1,8 нм. Номинальная ширина плавников составляет 30 нм, а период — 200 нм. Количественные характеристики шероховатости структур рассчитывались с помощью методик, предложенных в [7]: по определению, по уровню насыщения функции корреляции отклонений от линейной аппроксимации координаты края линии и по уровню графика спектральной плотности мощности в зоне низких пространственных частот.

В основе анализа достоверности результатов измерений лежит справедливое предположение об однородности воздействий технологических процессов формирования исследуемых структур в малой выделенной области кристалла (или пластины). В таком случае сильное изменение количественных показателей шероховатости (например, LER) на различных кадрах обозначенной области кристалла будет свидетельствовать о неточности результатов статистического анализа.

Для оценки стабильности определения величины ЬЕЫ, измеряющейся но одной и той же методике на серии кадров, используется безразмерный коэффициент вариации. Он характеризует относительный разброс полученной серии измерений величины:

Рис. 1. РЭМ-изображонио исследуемой решётки Si плавников в поперечном сечении

В силу того, что исходные величины LER являются масштабно независимыми, рассматриваемый индикатор стабильности также является масштабно независимым, что позволяет использовать его как единую характеристику качества результата отдельного метода.

4. Результаты исследования и обсуждение

В ходе исследования разработана программа «LER&LWR Calculator» [8], в автоматизированном режиме осуществляющая определение величины линейной шероховатости но трем упомянутым выше методикам.

В режиме пакетной обработки изображений проанализированы 22 кадра экспериментальных структур в окрестности 100 мкм центральной области пластины. На каждом изображении выделялись линии поперечных максимумов для двух краев каждой из линий, нонавших на кадр (рис. 2). Фактически это соответствует максимумам интенеивноетей электронной эмиссии поверхности, которые затем интерпретировались как структуры, подобные искомым краям. Примеры обработки одиночных кадров методами анализа корреляционной функции и спектральной плотности мощности представлены на рис. 3 и 4.

Важно отметить, что в ряде случаев оценка выбранных величин методом анализа спектральной плотности мощности представляется затруднительной в силу невозможности однозначного выявления линейного участка в зоне высоких пространственных частот. Кроме того, к недостаткам данного метода следует отнести и тот факт, что теорема Парсеваля, позволяющая получить значение количественного параметра, связанного

(&LER )

(LER)'

где (aLER)

дисперсия величины LER.

с шероховатостью, включает интеграл приведённой функции спектральной плотности мощности (5). Его значение явным образом зависит от дискретизации преобразования Фурье, а значит, от разрешения использовавшихся кадров. Таким образом, при разных условиях получения кадров на одних и тех же объектах будут получаться различные значения LER.

О 100 200 300 400 500

Координата х, пкс

Рис. 2. Детектирование линий с изображения решётки плавников

Рис. 3. Результат обработки одного кадра методом анализа корреляционной функции: = 1, 69 нм, длина корреляции £ = 4 нм, LER = 3, 59 нм

Основные результаты исследования представлены в табл. 1.

Сравнивая абсолютные значения LER, полученные тремя способами, выявлено, что результат измерения существенно зависит от использованной методики. Итоги вычислений величины неровности края по функции спектральной плотности мощности выбиваются из ряда данных других методов, а значит, в приведённой реализации, особенности которой

упомянуты выше, данный метод не применим, по крайней мере, при исследовании базовых структур ЕшЕЕТ-технологии.

Анализ полученных результатов подтверждает предположения, высказанные в [9], о том, что исследование частотного распределения спектра шероховатости целесообразно проводить для определения причин, вносящих вклад в величину шероховатости. Однако коэффициент вариации величины ЬЕИ, оценённой с использованием данных методов, оказывается больше в 1,3-1,5 раза в сравнении с расчётом по формуле (1). Наилучший показатель вариации выявлен для методики определения величины неровности края по среднеквадратичному отклонению и составляет 0,047.

Таким образом, результаты показывают, что наилучшая стабильность результата достигается при определении величины линейной шероховатости по определению, т.е. по формуле (1).

Рис. 4. Результат обработки одного кадра методом анализа спектральной плотности мощности: длина корреляции £ = 1,43 нм, ЬЕК =1, 55 нм

Т а б л и ц а 1

Результаты измерений неровности края (ЬЕК) и её воспроизводимости

в малой окрестности кристалла

Метод формула по определению корреляционная функция РЯБ

(ЬЕК), им 4,193 4,431 1,655

Дисперсия ЬЕИ, им2 0,039 0,169 0,020

Коэффициент вариации 0,047 0,092 0,085

5. Заключение

В работе проведен сравнительный анализ наиболее популярных методик оценки количественных характеристик шероховатости паноразмерпых структур с точки зрения воспроизводимости результатов измерений. Воспроизводимость результатов определения ЬЕИ оценивается с помощью коэффициента вариации значений в соответствии с предложенной в данной работе методикой. Обнаружено, что наилучшая стабильность достигается при определении величины неровности края по среднеквадратичному отклонению. В связи с чем именно данный метод рекомендуется использовать в целях производственного контроля шероховатости топологии приборных кристаллов.

Литература

1. Красников Г.Я. Конструктивно-технологические особенности субмикронных МОП-транзисторов. М.: Техносфера, 2011.

2. Allan A., Edenfeld D., Joyner W., Kahng A., Rodgers M., and Zorian Y. Technology Roadmap for Semiconductors // IEEE Comput. Jan. 2002.

3. Lee J.-Y., Shin J., Kim H.-W., Woo S.-G., Cho H.-K., Han W.-S., Moon J.-T. Effect of line edge roughness (LER) and line width roughness (LWR) on Sub-100 nm Device Performance 11 Proc. of SPIE. 2004. V. 5376. P. 426-433.

4. Вот Б.П., Миталл А., Цао Ю., Старр Г. llano KMOII схемы и проектирование на физическом уровне. М.: Техносфера, 2014.

5. Baylav В. Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography // Thesis. Rochester Institute of Technology. 2014.

6. Баранов Г.В., Миленин А.П., Бакланов М.Р. Изучение влияния шага плазменного травления на шероховатость стенок канала FinFET в схеме гетероинтеграции // Микроэлектроника. 2016. Т. 45, № 3. С. 197-202.

7. Constantoudis V., Patsis G.P., Tserepi A., and Gogolides ü1. Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors // J. Vac. Sci. Technol. B. 2004. V. 21, N 3. P. 1019-1026.

8. Шарапов А.А., Бара,нов Г.В. Сравнительный анализ методов измерения количественных характеристик шероховатости наноразмерных структур // Труды 60-й Всероссийской научной конференции МФТИ. Электроника, фотоника и молекулярная физика. 2017. https://abitu.net/public/admin/mipt-conference/FEFM.pdf.

9. Levi S., Schwarzband I., Kris R., Adan O., Shi E., Zhang Y., Zhou K. Edge roughness characterization of advanced patterning processes using power spectral density analysis (PSD) // Proc. of SPIE. 2016. V. 9782. P. 978201-1-9.

References

1. Krasnikov G.Ya. Constructive and technological features of submicron MOSFETs. M.: Tekhnosfera, 2011. (in Russian).

2. Allan A., Edenfeld D., Joyner W., Kahng A., Rodgers M., and Zorian Y. Technology Roadmap for Semiconductors. IEEE Comput. Jan. 2002.

3. Lee J.-Y., Shin J., Kim H.-W., Woo S.-G., Cho H.-K., Han W.-S., Moon J.-T. Effect of line edge roughness (LER) and line width roughness (LWR) on Sub-100 nm Device Performance. Proc. of SPIE. 2004. V. 5376. P. 426-433.

4. Wong B.P., Mittal A., Cao Y., Starr G. Nano-CMOS circuit and physical design. M.: Technosfera, 2014. (in Russian).

5. Baylav B. Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography. Thesis. Rochester Institute of Technology. — 2014.

6. Baranov G. V., Milenin A.P., Baklanov M. P. Investigation of the impact of plasma etching steps on the roughness of the FinFET channel sidewalls in the scheme of hetero-integration. Microelectronics. 2016. Vol. 45, N 3. P. 197-202. (in Russian).

7. Constantoudis V., Patsis G.P., Tserepi A., and Gogolides -©.Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors. J. Vac. Sci. Technol. B. 2004. V. 21, N 3. P. 1019-1026.

8. Sharapov A.A., Baranov G.V. Comparative analysis of measurements methods of the nanoscale roughness quantitative characteristics. Proceedings of MIPT 60th Scientific Conference. Electronics, Photonics and Molecular Physics. 2017. https://abitu.net/public/admin/mipt-conference/FEFM.pdf (in Russian).

9. Levi S., Schwarzband I., Kris R., Adan O., Shi E., Zhang Y., Zhou K. Edge roughness characterization of advanced patterning processes using power spectral density analysis (PSD). Proc. of SPIE. 2016. V. 9782. P. 978201-1-9.

Поступим в редакцию 15.02.2018

i Надоели баннеры? Вы всегда можете отключить рекламу.