Научная статья на тему 'Современные научные и практические решения в технологии изготовления подложек полупроводниковых соединений А³В⁵. Обзор'

Современные научные и практические решения в технологии изготовления подложек полупроводниковых соединений А³В⁵. Обзор Текст научной статьи по специальности «Технологии материалов»

CC BY
16
5
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
полупроводниковые пластины / материалы А³В⁵ / шлифовка / полировка / химико-механическая полировка / пассивация поверхности / Semiconductor plates / А³В⁵ materials / Machining / Polishing / Chemical mechanical polishing / Surface passivation

Аннотация научной статьи по технологиям материалов, автор научной работы — Абрамова Елена Николаевна, Козлов Роман Юрьевич, Хохлов Анатолий Ильич, Сыров Юрий Вячеславович, Пархоменко Юрий Николаевич

Сегодня в электронном и оптическом приборостроении в качестве подложек для эпитаксиального роста широко используются монокристаллические полупроводниковые материалы А³В⁵ – GaAs, GaSb, InAs, InSb, InP. Данные материалы получают в виде массивных монокристаллических слитков. В связи с этим именно для них разрабатываются технологии изготовления и обработки пластин, используемых в качестве подложек для операций эпитаксиального роста. Миниатюризация современных систем и приборов обуславливает высокие требования к качеству поверхности этих подложек. Одним из основных критериев является низкая шероховатость (Ra) поверхности порядка 0.5 нм. Достижение таких значений требует усовершенствования методов обработки поверхности. В статье проанализированы современные подходы к обработке поверхности полупроводниковых пластин монокристаллических материалов А³В⁵. Рассмотрены особенности шлифовки полупроводниковых пластин как этапа, предшествующего полировке. Проведен анализ методов полировки и установлено, что наибольшее развитие и распространение сегодня имеет химико-механическая полировка пластин А³В⁵, для которой рассмотрены основные параметры и систематизированы теоретические подходы. Выявлены ключевые тенденции развития технологии химико-механической обработки полупроводниковых пластин А³В⁵ для получения пластин высокого качества. Приведены и проанализированы современные исследования различных методик химической полировки, как возможного аналога химико-механической обработки поверхности. Также рассмотрены методики пассивации поверхности после получения пластин с низкой шероховатостью. Пассивацию проводят для снижения реакционной способности поверхности и стабилизации электронных состояний в приповерхностных слоях пластины. Предложено классифицировать подходы к пассивации на основе получаемого химического состава поверхности, когда пассивирующие слои создают при помощи окисления, сульфидирования, нитрирования. Также предложена классификация по способам создания пассивирующих покрытий с выделением химических методов при обработке поверхности в растворах и физико-химических методов.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по технологиям материалов , автор научной работы — Абрамова Елена Николаевна, Козлов Роман Юрьевич, Хохлов Анатолий Ильич, Сыров Юрий Вячеславович, Пархоменко Юрий Николаевич

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Modern scientific and practical approaches to the production of substrates from semiconductor compounds А³В⁵. Review

Modern electronic and optical engineering uses А³В⁵ single-crystal semiconductor materials (GaAs, GaSb, InAs, InSb, and InP) as substrates for epitaxial growth. These materials are obtained in the form of massive single-crystal ingots. Therefore, technologies for processing of these А³В⁵ wafers are developed to produce the substrates for epitaxial growth. The miniaturization of modern systems and devices demands the high quality of the substrates surface. One of the main criteria is a low surface roughness (Ra) (of about 0.5 nm). To meet this requirement, it is necessary to elaborate the existing methods of surface treatment. The review analyses the current approaches to the treatment of the surface of semiconductor wafers of А³В⁵ single-crystal materials. It considers the specifics of wafers machining followed by their polishing. The article also presents an analysis of the polishing methods. It reveals that at the moment the chemical-mechanical polishing of А³В⁵ wafers is the most commonly used method. The review presents the main parameters of this process and systematizes the existing theoretical approaches. The analysis determined the key tendencies in the development of chemical-mechanical polishing of semiconductor А³В⁵ wafers aimed at increasing the quality of wafers. The article also analyses the latest studies regarding the methods of chemical polishing as an alternative to chemical-mechanical polishing. The next section focuses on surface passivation methods used upon obtaining wafers with a low roughness. Passivation is performed to reduce the reactivity of the surface and stabilize surface states of wafers. A classification of passivation methods is suggested based on the obtained chemical composition of the surface, when the passivation layers are created using oxidation, sulfidizing, or nitriding. Another classification is based on the method of creating passivating coatings and includes wet chemical methods and physico-chemical methods.

Текст научной работы на тему «Современные научные и практические решения в технологии изготовления подложек полупроводниковых соединений А³В⁵. Обзор»

M

ISSN 1606-867Х (Print) ISSN 2687-0711 (Online)

среды и межфазные границы

https://journals.vsu.ru/kcmf/

Обзор

Обзорная статья УДК 66.017

https://doi.org/10.17308/kcmf.2024.26/11805

Современные научные и практические решения в технологии изготовления подложек полупроводниковых соединений А3В5. Обзор

Е. Н. Абрамоваш, Р. Ю. Козлов1, Ю. В. Сыров1, А. И. Хохлов1, Ю. Н. Пархоменко2

1АО «Гиредмет» ПАО «Росатом»,

ул. Электродная, д. 2., стр.1, Москва 111524, Российская Федерация

2ФГАОУ ВО «Национальный исследовательский технологический университет «МИСИС», Ленинский пр-кт, д. 4, стр. 1, Москва 119049, Российская Федерация

Сегодня в электронном и оптическом приборостроении в качестве подложек для эпитаксиального роста широко используются монокристаллические полупроводниковые материалы А3В5 - GaAs, GaSb, InAs, InSb, InP. Данные материалы получают в виде массивных монокристаллических слитков. В связи с этим именно для них разрабатываются технологии изготовления и обработки пластин, используемых в качестве подложек для операций эпитаксиального роста. Миниатюризация современных систем и приборов обуславливает высокие требования к качеству поверхности этих подложек. Одним из основных критериев является низкая шероховатость (Ra) поверхности порядка 0.5 нм. Достижение таких значений требует усовершенствования методов обработки поверхности. В статье проанализированы современные подходы к обработке поверхности полупроводниковых пластин монокристаллических материалов А3В5. Рассмотрены особенности шлифовки полупроводниковых пластин как этапа, предшествующего полировке. Проведен анализ методов полировки и установлено, что наибольшее развитие и распространение сегодня имеет химико-механическая полировка пластин А3В5, для которой рассмотрены основные параметры и систематизированы теоретические подходы. Выявлены ключевые тенденции развития технологии химико-механической обработки полупроводниковых пластин А3В5 для получения пластин высокого качества. Приведены и проанализированы современные исследования различных методик химической полировки, как возможного аналога химико-механической обработки поверхности. Также рассмотрены методики пассивации поверхности после получения пластин с низкой шероховатостью. Пассивацию проводят для снижения реакционной способности поверхности и стабилизации электронных состояний в приповерхностных слоях пластины. Предложено классифицировать подходы к пассивации на основе получаемого химического состава поверхности, когда пассивирующие слои создают при помощи окисления, сульфидирования, нитрирования. Также предложена классификация по способам создания пассивирующих покрытий с выделением химических методов при обработке поверхности в растворах и физико-химических методов.

Ключевые слова: полупроводниковые пластины, материалы А3В5, шлифовка, полировка, химико-механическая полировка, пассивация поверхности

Для цитирования: Абрамова Е. Н., Козлов Р. Ю., Сыров Ю. В., Хохлов А. И., Пархоменко Ю. Н. Современные научные и практические решения в технологии изготовления подложек полупроводниковых соединений А3В5. Обзор. Конденсированные среды и межфазные границы. 2024;26(1): 3-24. https://doi.org/10.17308/kcmf.2024.26/11805 For citation: Abramova E. N., Kozlov R. U., Syrov U. V., Khokholov A. I., Parkhomenko Yu. N. Modern scientific and practical approaches to the production of substrates from semiconductor compounds А3В5. Review. Condensed Matter and Interphases. 2024;26(1): 3-24. https://doi.org/10.17308/kcmf.2024.26/11805

И Абрамова Елена Николаевна, e-mail: overmind11@rambler.ru © Абрамова Е. Н., Козлов Р. Ю., Сыров Ю. В., Хохлов А. И., Пархоменко Ю. Н., 2024

Контент доступен под лицензией Creative Commons Attribution 4.0 License.

Аннотация

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

1. Введение

Многообразие бинарных соединений А3В5 включает антимониды, арсениды, нитриды и фосфиды индия, галлия, алюминия и бора. Многие из них представляют особый интерес для развития электронного приборостроения, СВЧ-техники, оптоэлектроники и фотоники, производства различных сенсоров и датчиков. Сегодня в полупроводниковом производстве ряд соединений А3В5 получают в виде монокристаллических слитков, которые разделяют на пластины для дальнейшего изготовления приборов на их основе, а также эпитаксиальными методами в виде пленок на подложках. В качестве материалов подложек наибольший интерес сегодня представляют прямозонные материалы с высокой подвижностью носителей заряда GaAs, GaSb, InAs, 1^Ь, 1пР, GaN. Нитрид галлия выращивают как эпитаксиальными методами на подложках других материалов, так и дорогостоящими аммонотермальным методом и из расплава №-Ga-N [1]. Для материалов GaAs, GaSb, InAs, 1пР разработаны технологии промышленного получения монокристаллов, которые затем разделяют на пластины. При этом разделение монокристаллического слитка на пластины сопряжено с образованием высокодефектной поверхности. Таким образом, актуальность рассмотрения именно указанных материалов обусловлена с одной стороны интересом к ним приборостроителей, с другой - тем фактом, что массивные монокристаллические слитки в промышленном масштабе производятся именно из полупроводниковых соединений GaAs, GaSb, InAs, 1^Ь, 1пР, и последующие операции для получения пластин высокого качества для прецизионных процессов эпитаксиального роста разрабатываются и исследуются только для этих материалов.

Процесс стремительного развития различных направлений электроники и оптики сопряжен с миниатюризацией полупроводниковых приборов и структур. Это в свою очередь требует повышения качества полупроводниковых пластин, на основе которых изготовляют эти приборы. Сегодня в мире наблюдается спрос на пластины качества «epy-ready» [2] как наиболее технологичных для дальнейшего применения. Как отмечено в [3], понятие «epy-ready» подразумевает возможность использования полупроводниковых монокристаллических пластин, прежде всего в операциях эпитаксии, без специальной предварительной обработки вне эпитаксиально-го реактора. При этом общепринятое определе-

ние термину «epy-ready» на сегодняшний день отсутствует. Наряду с необходимыми электрофизическими характеристиками и низкой дефектностью, важным критерием качества полупроводниковых пластин является планарность поверхности с максимальной шероховатостью (Ла) порядка 0.5 нм.

Сегодня получение монокристаллических подложек А3В5 производится по технологической схеме, которая в общем виде включает следующие основные этапы:

1) резка монокристалла на пластины;

2) снятие фаски с пластин;

3) шлифовка пластин и их последующая химическая очистка;

4) полировка поверхности и последующая отмывка;

5) пассивация поверхности.

Цель данного обзора состоит в систематизации и анализе научных подходов и практических разработок для шлифовки и полировки полупроводниковых пластин, получаемых из монокристаллических слитков GaAs, GaSb, InAs, 1^Ь, 1пР.

2. Шлифовка полупроводниковых пластин

Процесс шлифовки следует за операцией резки монокристалла на пластины. В случае резки полупроводниковых монокристаллов отрезными дисками (алмазными отрезными кругами с внутренней режущей кромкой - АКВР) в приповерхностной области пластины образуется шероховатый неплоскостный слой. Одной из главных причин этого являются крупные алмазные зерна с размером основной фракции 28-40 мкм, используемые при распиливании [4]. В связи с этим на последующей операции шлифовки требуется уменьшение шероховатости поверхности и обеспечение ее планаризации, что приводит к значимым потерям дорогостоящего материала. Переход к проволочной резке монокристаллов уменьшает толщину шероховатого слоя за счет меньшего размера зерен алмаза (менее 20 мкм), закрепленных на проволоке, а также ввиду малых термодинамических напряжений в зоне контакта инструмента со слитком [4, 5], решает в принципе вопрос снижения потерь материала, но не проблему планаризации поверхности. Таким образом, сегодня главная задача шлифовки состоит в обеспечении высокой планарности поверхности с низкой шероховатостью.

В процессе шлифовки частицы абразива проникают в шлифуемый материал и удаляют его фрагменты. В результате этого выравнивается

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

толщина пластины, а сама пластина утончается. При этом остается нарушенная область [6], состоящая из рельефного слоя, трещинновато-го слоя, дефектного слоя, содержащего остаточные трещины и напряжения. Ее толщина пропорциональна размеру частиц абразива [7, 8], их количеству, а также зависит от твердости абразива (обычно составляет около трех размеров зерна абразива).

Существует два основных метода шлифовки полупроводниковых пластин: с помощью шлифовального круга и с использованием суспензии на основе шлифовальных порошков (в англоязычной терминологии они называются grinding и lapping, соответственно) [9,10]. Шлифовка при помощи шлифовальных порошков также может называться притиркой [11].

Обычно выделяют три механизма удаления материала:

- в результате скола микрочастиц материала закрепленными в шлифовальнике частицами абразива,

- срезания материала абразивом,

- скола материала после перекатывания незакрепленных зерен, их индентирования в материал и образования трещин и напряжений.

Шлифовка при помощи шлифовальных порошков является результатом их совокупного действия. При этом предпочтительным механизмом удаления материала является его скол в местах пересечения трещин, образовавшихся в результате индентирования частиц свободного абразива. Это обеспечивает более равномерное удаление материала. Шлифовка на шлифовальном круге происходит в результате действия первых двух механизмов, где основной вклад вносит срезание материала. Также шлифовка на шлифовальном круге возможна путем квазипластичного удаления материала [12, 13], когда достигнуто необходимое напряжение в поверхностном слое [10, 12, 14]. Данный подход представляется перспективным видом обработки А3В5. Однако сегодня шлифовальные круги крайне редко используются в промышленности для полупроводников А3В5, в связи с чем, не рассматриваются в данном обзоре.

2.1. Шлифовка с применением шлифовальных порошков (притирка) полупроводниковых пластин

Цель шлифовки с применением шлифовальных порошков состоит в обеспечении шероховатости пластин А3В5 R порядка 0.63-1.0 мкм и

их разнотолщинности (Total Thickness Variation; TTV) 4^6 мкм.

Для двусторонней притирки обычно характерна меньшая разнотолщинность пластин, чем для односторонней, в связи с более равномерным воздействием на пластину. Под давлением частицы абразива режущими гранями проникают (индентируются) в поверхностные слои пластины на глубину 5^10 % собственного размера и срезают/скалывают их фрагменты [15]. При этом между поверхностью пластины и поверхностью шлифовальника необходим зазор для равномерного распределения там абразивной суспензии. С этой целью подбирается инертный к абразивам растворитель (вода или органические растворители, например, масла) необходимой вязкости.

Известные формы частиц шлифовальных порошков: круглая, уплощенная, цилиндрическая, неопределенная многоугольная. Форма абразивов влияет на перечисленные выше механизмы удаления материала. Для шлифовки обычно применяют порошки с различным размером зерен - от 3 до 63 мкм, чаще используют порошки с размером зерен 5^20 мкм [16]. В разных регионах мира применяются различные стандарты для классификации абразивных порошков: ГОСТ 52381-2005 (Россия), FEPA (Европейские страны, США), ANSI - CAMI (США), JIS (Япония).

Как правило, для удаления более крупных неровностей поверхности и выравнивания пластины по толщине при грубой шлифовке используют твердые порошки с большим размером зерен, затем проводят тонкую шлифовку на более низкоразмерных порошках. Необходимо отметить, что благодаря проволочной резке качество получаемых пластин позволяет проводить одностадийную операцию притирки.

Для эффективного сглаживания неровностей пластины выбранный абразив должен быть тверже материала. Для шлифовки хрупких материалов А3В5 исследуется возможность применение как высокотвердых, так менее твердых порошков. В работе [17] шлифовка пластин InSb с ориентацией (100) осуществлялась с применением алмазной суспензии с размером частиц абразива 1 мкм. В исследовании [18] для шлифовки GaAs применялся абразив Al2O3 с размером частиц 5 мкм. В работе [2] шлифовальные порошки из электрокорунда с размерами зерен в диапазоне 10^14 и 5^7 мкм соответственно, использовались для обработки поверхностей GaAs и InSb. В этих исследованиях было обнаружено, что шлифовка

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

позволяет добиться равномерной поверхности без завальцовок [17], при этом незначительно увеличивается прочность пластин GaAs и после резки [2]. Также сегодня широко используются имеющиеся в продаже коммерческие шлифовальные порошки и суспензии, например, содержащие одновременно А1203, SiO2, ZrO2, составы которых полностью не раскрываются, а именно: являются ли шлифовальные порошки простой механической смесью или же указанные вещества равномерно представлены в составе каждой частицы порошка [19].

Также на процесс обработки поверхности влияет материал самого шлифовальника. Необходимой операцией, предшествующей притирке полупроводниковых пластин, является выравнивание шлифовальных столов. В результате этого их планарность должна составлять величину, равную среднему размеру зерна абразива. Обычно используются шлифовальники из чугуна или стекол различных марок, более твердые чем абразивы. Частицы абразива не проникают в них. В связи с этим их движение по поверхности полупроводниковой пластины имеет характер перекатывания. Это может обуславливать разрушение частиц абразива.

Наряду с подбором абразивов и шлифоваль-ников, оптимизация режимов притирки включает подбор усилия прижима на площадь поверхности (давления), концентрации частиц абразива в шлифовальной суспензии, скорость подачи суспензии, скорость перемещения шлифовального диска. Оптимизация перечисленных параметров позволяет достичь Яа порядка 0.63^1 мкм и ТТУ порядка 2^4 мкм.

3. Полировка полупроводниковых пластин

Полировка полупроводниковых пластин является методом обработки, который следует за шлифовкой. Её цель состоит в удалении нарушенного слоя и сглаживания шероховатости поверхности при сохранении формы после шлифовки. При этом полированные пластины не должны иметь механических дефектов (таких как трещины, царапины) и остаточных частиц абразивов на поверхности [12].

Основные методы полировки различных материалов включают:

- механическую полировку;

- химическую (химико-динамическую, электрохимическую полировку, сухое травление) полировку;

- химико-механическую полировку (ХМП) (с абразивом или без абразива).

С учетом высокой хрупкости и низкой твердости материалов GaAs, GaSb, InAs, 1^Ь, 1пР, механическая полировка пластин не позволяет обеспечить требования к качеству поверхности, предъявляемые для проведения процессов эпи-таксиального наращивания [20].

3.1. Химико-механическая полировка 3.1.1. Параметры процесса ХМП

В промышленной обработке пластин полупроводниковых материалов А3В5 наиболее часто применяется химико-механическая полировка. Этот тип обработки поверхности основан на совокупном эффекте химического и механического воздействия на материалы. Процесс проводят на полировальном станке с геометрией кругового движения полировальника с использованием абразивных частиц или без них. Также существуют установки иной геометрии, например, в работе [21] исследуется ХМП на рулонном станке.

Для различных материалов А3В5 разрабатываются различные методики ХМП. Например, в работе [22] полировка пластин 1пР включает его взаимодействие с окислителем - №СЮ - и образование оксидного слоя, который механически удаляется полировальником без абразива. В [23] методика ХМП GaAs включает образование оксидных слоев на поверхности пластины при взаимодействии с Н202 или №СЮ. Затем оксиды взаимодействуют со щелочами с образованием гидроокисей, которые механических удаляются при помощи абразива.

В целом, сущность химико-механической полировки заключается в переводе обрабатываемого материала в иную химическую форму -преимущественно оксидную и гидроксидную -и последующее механическое удаление этих соединений. Особенности механизмов данных процессов зависят от конкретных условий ХМП. Оптимальные условия полировкии подбираются для конкретной системы.

В общем виде ключевые параметры процесса ХПМ, которые определяют качество обработки поверхности, включают следующие:

1. Параметры механического воздействия:

1.1. материал и твердость полировальника, расположение на нем борозд, геометрию борозд, морфологию поверхности полировальника;

1.2. давление (нагрузка, усилие прижатия) на пластине;

1.3. размер и концентрация частиц абразива;

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

1.4. температура процесса;

1.5. относительная скорость между пластиной и полировальником;

1.6. вязкость полировального раствора;

2. Параметры химической составляющей процесса обработки:

2.1. вещества и их концентрации в полировальной смеси: окислитель, комплексообразова-тель, пассивирующий агент, абразив, диспергент для обеспечения стабильного распределения частиц абразива в растворе, растворитель; стабильность компонентов при хранении, рН раствора;

2.2. скорость подачи полировальной смеси;

3. Параметры обрабатываемого материала:

3.1. разнотолщинность и геометрические параметры пластины после шлифовки;

3.2. тип, химический состав и особенности обрабатываемого материала, кристаллографическая ориентация пластины.

Указанные параметры взаимосвязаны и способны оказывать влияние друг на друга. В связи с этим целесообразен предварительный анализ их взаимозависимостей.

а) Как правило, для оптимизации режимов ХМП в первую очередь определяют требуемое давление на пластину и относительную скорость между пластиной и полировальником. Они вносят важный вклад в скорость удаления материала с поверхности пластины. В исследовании [24] обнаружено, что при полировке GaAs при усилии ниже 90 Н на пластине диаметром 4 дюйма скорость съёма материала неравномерна в разных областях поверхности, а при значениях выше 90 Н скорость удаления материала по поверхности выравнивается и снижается шероховатость.

В работе [25] обнаружено, что при полировке пластин в составах на основе коллоидного раствора диоксида кремния (добавлено 0.2 об. %, коллоидного раствора SiO2 с размером частиц 50 нм, масс. содержание диоксида кремния в исходном коллоидном растворе - 31 %), перекиси водорода и лимонной или молочной кислоты при рН = 4, скорости полировальника 60 об/мин, оптимальная нагрузка составляет 80 г/см2. Это объясняется оптимальной толщиной слоя полировального раствора между пластиной и полировальником и соответствием друг другу скорости химической реакции и механического удаления продуктов. При понижении нагрузки до 40 г/см2 наблюдается рост шероховатости поверхности. По мнению авторов, это может быть вызвано увеличением толщины

слоя полировальной смеси и, связанной с этим, неравномерностью химических процессов на поверхности пластины. С повышением нагрузки более 100 г/см2 в процессе ХМП превалирует механическое удаление материала, что также приводит к ухудшению характеристик образца [25].

При этом режим смачивания и толщина слоя полирующего раствора между пластиной и полировальником, определяется не только давлением, а отношением к нему относительной скорости и вязкости полировальной смеси (^-^/Р).

б) Вязкость раствора влияет также на массо-перенос удаляемых с поверхности продуктов реакции, движение частиц абразива. При слишком высокой вязкости движение частиц абразива в полировальной смеси и отвод продуктов ХМП от поверхности пластины затруднены. При этом возможны локальные скопления продуктов полировки и связанное с этим неравномерное смачивание пластины полировальным раствором.

При слишком низкой вязкости превалирует прямой контакт полировальника с обрабатываемой поверхностью и механическое воздействие на материал. При этом вероятен неравномерный съём и рост шероховатости.

Вязкость определяется как химическим составом полировальной смеси, рН, типом, размером частиц и концентрацией абразива [26], так и может зависеть от механических параметров ХМП. В работе [27] изучена зависимость вязкости полировальной смеси с коллоидными частицами диоксида кремния от скорости сдвига полировального раствора, которая определяется отношением скорости между полировальником и пластиной (м/с) и расстояния между ними (м). Обнаружено, что со значительным ростом скорости сдвига вязкость полировального состава на основе коллоидного раствора диоксида кремния возрастает, свойства полировальных растворов сильно отклоняются от свойств ньютоновской жидкости. При этом, как отмечают авторы [27], режим движения жидкости с ростом скорости между полировальником и пластиной переходит в турбулентный.

в) Диоксид кремния является наиболее распространенным коммерческим абразивом для ХМП А3В5. Он используется в виде коллоидных растворов. При этом необходимы добавки для стабилизации частиц, препятствующие их коагуляции и седиментации. Однако их составы производителями не раскрываются. Функция абразива заключается в механическом съёме материала и сорбции продуктов полировки для

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

их удаления. Экспериментальные данные о влиянии размеров и концентрации частиц абразива в полировальной смеси на характеристики ХМП, включая скорость удаления материала, разнятся в ряде работ [21, 28]. Общие требования к абразивам состоят в соизмеримой (или ниже) обрабатываемого материала твердости, инертности по отношению к компонентам полировальной смеси, низкой размерности зерен (десятки и сотни нм, чаще используют 10^100 нм [29]). В работе [30] обнаружено, что SiO2 обладает низкой реакционной способностью по отношению к GaAs. Также в этом исследовании обнаружена склонность к взаимодействию абразивных частиц Се02 и GaAs. При этом актуальными остаются дополнительные исследования влияния диспергентов для абразива на его абразивные свойства [31-33].

В ряде работ при полировке материалов А3В5 абразивные частицы не используются [22, 34, 35]. Это обуславливает иную специфику механизма полировки, где механическое удаление окисленных форм материала осуществляется сугубо полировальником.

г) Как для абразивной, так и для безабразивной ХМП полупроводниковых материалов обычно используют полиуретановые полировальники различной жесткости. В [36] обнаружено, что более мягкие полировальники обеспечивают меньшее число дефектов на пластинах А3В5.

Морфология поверхности полировальника должна обеспечивать преимущественное удаление выступающих неровностей на поверхности пластины. При моделировании процесса ХМП в [37, 38] предпринята попытка учесть влияние площади поверхности полировальника, находящейся в контакте с поверхностью, на скорость удаления материала. Предложена ее оценка на основе преобразованной формулы Гринвуда-Вильямсона (1):

А = С) ◊((/ар )т-(Р ◊ А,/Ер„), (1)

где А - площадь контакта полировальника с пластиной, Яр - средний радиус кривизны неровностей полировальника, ар - стандартное отклонение нормального распределения высот неровностей полировальника, Р - давление, Аи -номинальная площадь поверхности пластины, £ри - усредненный модуль Юнга пластины и полировальника (модуль упругости полировальника как правило в три раза меньше, чем материала [22]), ^ - поверхностная плотность выступающих неровностей полировальника, разде-

ленных бороздами, С - постоянная, равная 0.3^0.4.

С учетом этого предложена методика оценки скорости полирования [52]. Фактически площадь поверхности полировальника, находящаяся в контакте с пластиной, не является постоянным параметром, так как происходит глянцевание и механическое изнашивание полировальника [37, 38]. Чтобы снизить эффект глянцевания поверхность полировальника взрыхляют, например, алмазной головкой [39, 40, 41, 42]. В связи с этим, возможны колебания количества частиц абразива на полировальнике [43]. При этом, чем больше выступающих неровностей имеет полировальник, тем более вероятно глиссирование пластины по поверхности [44].

д) Количество частиц абразива, подаваемых на полировальник в единицу времени, зависит от скорости подачи полировальной смеси. При этом в случае чрезмерного потока полировальной смеси на поверхности обрабатываемой пластины может скопиться такое количество частиц абразива, что они покроют всю его поверхность. Это в свою очередь способно привести к снижению скорости химических реакций ХПМ из-за затрудненного подвода реагентов в зону реакции [21, 45].

В случае недостаточной скорости подачи полировальной смеси возможно неравномерное распределение по концентрации ее компонентов на полировальнике, неравномерные химические взаимодействия на поверхности пластины и рост шероховатости.

Таким образом, скорость подачи полировальной смеси способна влиять на кинетику химических взаимодействий, на режим смачивания поверхности, а также на отвод тепла и снижение/поддержание температуры в зоне реакции.

е) Температура является еще одним важным параметром процесса ХПМ. Для ее контроля в работе [46] предложено использовать инфракрасный датчик. Температура влияет на кинетику химических процессов, вязкость полировальной смеси (рост температуры приводит к снижению вязкости), дзета-потенциал абразивных частиц, их гидродинамический радиус и, соответственно, на механику удаления материала, рН полировального раствора. С ростом температуры увеличивается и растворимость кислорода в полировальной смеси, что может приводить к нежелательным неконтролируемым процессам окисления обрабатываемого материала.

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Учитывая высокую реакционную способность обрабатываемых материалов и химических реагентов, ХМП А3В5 проводится при комнатной температуре.

ж) Химическое взаимодействие обрабатываемого материала и полировальной смеси является первой стадией процесса ХМП. В общем виде химизм процесса заключается в окислении элементов А3 и В5, при этом составы окисленных форм каждого элемента отличаются и зависят от рН среды [47]. В качестве окислителей чаще всего используются Н202 или №СЮ. Помимо окислителя, абразива и диспергента для абразива, полировальные смеси могут включать ряд веществ, выполняющих функции комплексообразовате-ля, пассивирующего агента, растворителя, также возможно добавление буферных растворов. Конкретный состав полировальной смеси определяет рН, возможные реакции, составы окисленных форм, комплексных соединений, которые обладают различной растворимостью и легче или сложнее удаляются механически.

Для различных материалов предлагаются различные полировальные составы (примеры конкретных составов приведены в табл. 1, раздел 3.1.3). Конкретные составы буферных смесей в литературе не приводят [48].

В работе [49] показано, что скорость химических взаимодействий полировального раствора с полупроводниковыми материалами зависит от соотношения в них компонентов и их концентраций.

з) В ряде исследований анализируется влияние рН полировального раствора на скорость ХПМ различных материалов А3В5. Например, в [28] обнаружено, что сильнокислая или сильнощелочная среда приводит к росту скорости удаления GaAs, в то время как нейтральный рН обуславливает ее значительное снижение. При этом наименьшая шероховатость GaAs наблюдается при рН = 10. В патенте [25] показано, что при полировке пластин в растворе со щелочным рН на поверхности быстро образуются царапины. Со снижением рН от 7 до 2 постепенно возрастает шероховатость поверхности, при этом возрастает и скорость удаления материала. Предложен способ полировки пластин 1пР и

при рН 4^6 смесью, содержащей коллоидный раствор частиц диоксида кремния, перекись водорода и лимонную кислоту [25].

Таким образом, для различных материалов А3В5 ХМП производится при помощи полировальных растворов различных химических составов.

и) Химический состав полирующего раствора в свою очередь подбирается в соответствии с характеристиками обрабатываемого материала, такими как химический состав и кристаллографическая ориентация пластин. Различные элементы полупроводниковых соединений типа А3В5 окисляются с разной скоростью. Растворимость образовавшихся оксидов также отличается. Например, оксиды галлия ^а2О3 [35]), индия (1п2О3) трудно растворимы в нейтральных средах. Также очень низка растворимость и у их гидроксидов при рН = 5^9, например: ^ ССа(он)3 = -7.5 при РН = 7.5; С1п(ОН)3 = -9

при рН = 7.0 [50]. Оксиды As и Sb наоборот хорошо растворимы в нейтральных средах [47].

Это способствует разной скорости удаления атомов различных элементов при полировке и росту шероховатости поверхности [51]. В связи с этим важен учет кристаллографической ориентации полируемой пластины. В частности, для направления [111] теоретически обрабатываемая поверхность может состоять из атомов одного сорта. В направлениях [100] и [110] плоскости состоят из атомов 3 и 5 групп. Это, в свою очередь, обуславливает разную скорость удаления атомов с поверхности.

Таким образом, многочисленные параметры ХМП имеют глубокие сложные взаимосвязи. Оптимизация процесса полировки является многофакторной нелинейной задачей. Для ее решения разрабатываются модели механизмов этого процесса, учитывающие ключевые факторы.

3.1.2. Модели механизма ХМП

Несмотря на широкое практическое применение, фундаментальные основы механизмов ХМП до сих являются предметом многочисленных дискуссий [54]. Модели, описывающие ХМП, как правило, основаны на установлении зависимости скорости удаления материала с поверхности (скорости съёма) от приложенного давления и относительной скорости между пластиной и полировальником (подход Престона), выражение 2:

MRR = K ■ P ■ V,

(2)

где MRR - скорость удаления материала, К - ко -эффициент Престона, Р - давление на пластину, V - относительная скорость между полировальником и пластиной, которую можно упрощенно вычислить, перемножив расстояние между центрами пластины и полировальника на относительную скорость об/мин [55].

Однако, уравнение Престона не позволяет учесть случаев экспериментально наблюдаемо-

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

го нелинейного изменения скорости удаления материала с ростом давления и относительной скорости. В связи с этим вводят поправочные степенные показатели (а, Ь выражение (3)) для оценки реального влияния этих параметров [55]:

MRR = K ■ Pa ■ Vb.

(3)

В работе [56] предложена полиномиальная модель химико-механической планаризации на основе преобразованного уравнения Престона, с учетом зависимости скорости планаризации от времени.

Указанные подходы позволяют учесть наличие других факторов ХМП, влияющих на скорость съёма материала, однако не конкретизируют их и не рассматривают механизм их влияния.

В работе [53] предложена модель оценки скорости съёма материала абразивными частицами с учетом их количества и геометрии, давления и относительной скорости на выступах пластины и общего давления и относительной скорости пластины. Однако при этом не учитывается химическая составляющая процесса ХМП.

В обзоре [57] рассмотрена эрозионная модель ХМП, в которой сделана попытка отразить химическую составляющую процесса. Согласно эрозионной модели [58], поверхность пластины изменяется (полируется) за счет эрозии, скорость которой определяется как:

Vn = f (S t(t), Gn(t)),

(4)

где Уп - скорость эрозии в направлении нормали к поверхности пластины, f - эмпирическое соотношении химической и механической эрозии, зависящее от среднего давления на пластине и величин сп, а - нормального и касательного напряжения в данной точке поверхности пластины.

Скорость съёма при этом описывается выражением (5):

V = К(Р) + D-а2,),, (5)

где Уп - скорость удаления материала, К и Б -константы, определяемые свойствами материала и химическими процессами.

Таким образом, сделана попытка учесть химические процессы при полировке. При этом предполагается, что химические реакции и скорость диффузии влияют на величину давления [57].

В работе [59] предложен теоретический иной подход для описания механизма ХПМ через ско-

рость удаления материала с учетом как механической, так и химической составляющей процесса (выражение (6)):

МШ^ = С 3(1 -ф[3 - С 2-Р01/3 ])7р; - V,, (6)

где С2 - параметр, зависящий от среднего размера зерна абразива и распределения зерен по размерам, твердости обрабатываемой пластины и полировальника, неровности полировальника, модуля Юнга полировальника; С3 - параметр, зависящий от степени разбавления полировального раствора водой, плотности и массовой концентрацией полировального раствора до разбавления, среднего размера зерна абразива и распределения зерен по размерам, плотностью абразива, плотностью выпуклостей полировальника, его неровностью и модулем Юнга; ф определяется как (лтах - лауг)/а - отношение разности максимального и среднего значения к стандартному отклонению, Р0 - давление на пластине; V - относительная скорость между пластиной и полировальником.

В работе [60] предложена еще одна модель для расчета скорости полировки (выражение (7):

МШ (х, у) = к(х, у) - У^ (х, у) - |й (х, у)}, (7)

где MMR(л,y) - скорость съёма материала в данной точке пластины, Р , V - средние значения

' ау^ avg г ^

давления и относительной скорости на пластине, показатель степени а - неизмеряемая величина, которая определяет вклад давления и относительной скорости между пластиной и полировальником, й - пространственный параметр, выражающий влияние распределения по пластине давления, относительной скорости и химических процессов.

В работе [42] рассмотрены различные виды зависимости скорости съёма от давления для случаев разной механики взаимодействия полировальника, абразива и обрабатываемой поверхности. Также сделана попытка учесть химическое взаимодействие активного вещества полировального раствора и обрабатываемой поверхности.

Таким образом, в данной модели предлагается учитывать химический аспект обработки через коэффициент диффузии реагента в обрабатываемый материал.

В работе [52] предложены выражения оценки скорости съёма, учитывающие свойства самого материала: твердость исходного материала и окисленных форм на поверхности (по Бринел-лю). Авторы предлагают различные выражения

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

для разных случаев глубины индентирования абразивов в поверхностные слои.

Таким образом, одна из основных трудностей при моделировании механизма ХМП состоит в конкретизации вклада химической реакции и механического удаления в итоговый результат. Можно условно выделить механический, химико-механический, химический подходы к решению этого вопроса в различных исследованиях. При этом предложенные модели механизмов полировки преимущественно разработаны на основе конкретных экспериментальных условий. Это обуславливает возможные ограничения их применимости и необходимость корректировки для иных условий обработки полупроводниковых материалов. При этом в ряде работ обозначены границы применимости предложенных выражений оценки скорости съёма [42, 52, 59].

3.1.3. Режимы ХМП А3В5

В табл. 1 приведены примеры условий ХМП и полировальных составов для InSb, GaSb, GaAs, InAs. Эффективность полировки оценивается на основе качества пластины. В общем виде параметры оценки качества пластин включают: шероховатость (в том числе Ra, Rz), разно-толщинность пластины; плоскостность пластины (Total Indicator Reading, TIR); прогиб пластины (BOW); коробление пластины (WARP). Также контролируют дефекты на пластине, такие как царапины, остаточные частицы (абразива, пыли, осколки материала). Чем ниже значения этих параметров, тем выше качество пластины. В научных исследованиях для оценки качества полировки наиболее часто используют величины шероховатости поверхности, так как эксперименты проводят не на целых пластинах, а на их фрагментах.

Методы контроля шероховатости включают атомно-силовую микроскопию, контактную и бесконтактную оптическую профиломе-трию высокого разрешения. Приборы оптической системы контроля качества пластин, как правило, предусматривают возможность мониторинга всей пластины полностью и позволяют определять также TTV, TIR, WARP, BOW. Контроль дефектов возможен с помощью оптической (в том числе 3-d цифрового оптического микроскопа [61]) и электронной микроскопии, часто используется также метод сканирования поверхности лазерным лучом. Для контроля морфологии поверхности, кристаллического совершенства монокристаллических

пластин после ХМП и дефектов также разрабатываются методики интерферометрии белого света, лазерной интерферометрии, рентгеновской томографии, методов дифракции [62, 63]. Необходимость контроля химического состава полированной поверхности определяется различными химическими свойствами элементов 3-ей и 5-ой групп. Для этого обычно применяют рентгеновскую фотоэлектронную спектроскопию (РФЭС), Оже-спектроскопию [34, 64], энергодисперсионную рентгеновскую спектроскопию.

Также для химико-механической полировки GaAs используются полировальные составы на основе таких композиций как Вг2/Ме0Н; 1ЧН3-Н20 и Н202; Н^04 и Н202; Н3Р04 и Н202 [23].

Анализ приведенных в табл. 1 режимов ХПМ свидетельствует о ряде особенностей обработки полупроводниковых пластин А3В5 для получения пластин высокого качества:

1) для конкретного материала А3В5 исследуются и разрабатываются различные методики химико-механической обработки, подбираются свои полировальные составы. В качестве окислителей преимущественно используются Н2О2 и №0С1. Однако использование №0С1 создает проблему возможного выделения газообразного хлора, в связи с чем исследуются другие окислители, например, ^Н4)2Сг207, №Ю3, №Ю4.

В процессе ХМП арсенидов и фосфидов может происходить образование токсичных газов AsH3 и РН3 [65-68] даже в окислительной среде. При этом образующийся в ходе ХМП оксид мышьяка ^203) в зависимости от рН раствора переходит в HAs02, Н^04, As043-. В случае ан-тимонидов 1п и Ga состав полировальных смесей влияет именно на окисление элементов 3 группы, так как оксид сурьмы ^Ь203) устойчив практически во всем диапазоне рН [64]. При этом более равномерное удаление материала с поверхности пластин всех рассмотренных материалов наблюдается в растворах с рН ниже или близком к 7 (диапазон рН варьируется от 4 до 7). В основных растворах (рН>7) скорость удаления материала заметно выше, чем в кислых, при этом наблюдается резкое ухудшение качества поверхностей - рост шероховатости и появление рисок. Также образованию рисок способствуют абразивные частицы в полировальном составе. Это может быть связано с низкой твердостью обрабатываемых материалов.

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

Таблица 1. Примеры условий ХМП материалов А3В5 (где Р - давление/нагрузка на пластине, V - скорость полировальника, V - скорость вращения пластины, V - скорость

пол-ник г г 1 пластины г г ' 1 подачи р-ра г

подачи полировального раствора, t - длительность процесса, Т - температура, MMR - скорость съёма материала)

Обрабатываемый Полировальные составы Условия обработки Характери-зация

материал

1 2 3 5

InSb [114] 1 этап - А1203 (3-9 мкм): Н2О = 1:30; 2 этап - Н2О2: золь SiO2 (25-40 об. %) = 1: 2-4 (об. соотнош.) Р: 10-15 г/см2; V : 60-90 об/мин; пол-ник 1 V : 10^20 капель/мин; подачи р-ра 1 t: ~ 20 ч Шероховатость < 0.1 мкм

InSb <Te> (111), [87] ZrO2 (суспензия в кислом растворе): 20%-ный раствор С4Н606 (винная кислота) в растворе Н202 (40 %) = 5:1

InSb (112) [115] ^Н4)2Сг207 (26 масс.%): НВг (42 масс.%): СН2(ОН)СН2(ОН) (этиленгли-коль - EG) = 11: 49:40 (об. %) растворена в EG (0- 95 об. %) Полировальник: батистовая ткань; Т = 293 К; V : 2-3 мл/мин; подачи р-ра t: 2-3 мин. Шероховатость Я - 0.3 нм а

InSb [34] 230 мл Н2О2 (30%-ный раствор), 75 мл Е^ С4Н6О6, NaCl (0.08 М для грубой полировки и 0.02 М для финишной) в 1 л полировального раствора P: 5-10-3 Н/м2; V : 35 об/мин пол-ник ' Шероховатость Яа 0.3-0.5 кА (30-50 нм)

InSb (100) [25] SiO6 (раствор частиц 50 нм), С6Н8О7 (^НР^ Н2О2 Полировальник: полиуретан и полиэстер; рН = 4-6; Р: 0-80г/см2; V : 60 об/мин; пол-ник V : 160 мл/мин; подачи р-ра MMR: 0.2-0.7 мкм/мин Шероховатость Я(тах) - 3 нм

InSb [35] NaOCl (10%-ный раствор) и С6Н8О7 (50%-ный раствор) Р: 0.4; 0.7 psi; V : 50; 70 об/мин; пол-ник V : 30 об/мин; пластины 1 t: 2-3 мин Шероховатость 1.5 нм. Встречаются видимые дефекты

GaSb (100), 1 этап - Вг2: С2Н4(ОН)2 = 1:100; Полировальник: Pocorfarm 404 Шерохова-

GaSb <Te> 2, 3 этапы - анодирование и химиче- тость -

(100) [86] ское травление 0.3-0.4 нм

GaSb (100) [64] №ОС1 (10%-ный раствор) и С6Н7О8 (10%-ный раствор) Полировальник: полиуретан; рН = 7; P: 4 кПа; V : 30 об/мин; пол-ник MMR: 10 нм/мин. Шероховатость - 0.5 нм

GaSb [62] SiO6 (35%-ный раствор частиц 50 нм) и С3С1^3№О3 (10%-ный раствор дихлоризоцианурата натрия): Н2О = 1:20 и 2 масс. % №НСО3 Полировальник: полиуретано-вый, размер пор 42-58 мкм, плотность пор 325/мм2, длина ворса 310 мкм, сжимаемость 15 %, твердость по Шору А 42; t: 50 мин.; MMR: 0.216 мкм/мин Шероховатость - 0.13 нм

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

Продолжение табл. 1

1 2 3 4

GaSb [116] 1 этап - Al2O3 10-30 %; интенсификатор помола 5-10 %; диспергент 1-10 %; Н2О 2 этап - NaClO 1-10 %; SiO2 (до 100 нм) 10-30 %; Н3РО4 0.01-0.2 %; Н2О 1 - Полировальник: СеО2; Р: 100-200 г/см2; V : 10-40 об/мин; пол-ник 1 V : 10-50 мл/мин подачи р-ра 2 - Полировальник: полиурета-новый Шероховатость R < 0.3 нм a

3 этап - Н2О2 0.1-10 %; модификатор рН 0.01-5 %; Н2О рН = 6; Р: 80-150 г/см2;

V : 60-100 об/мин; пол-ник V : 10-30 мл/мин подачи р-ра 3 - полировальник: искусственная кожа; рН = 4

GaSb (100) [117] Коммерческие полировальные смеси «BGY-903», «FA/0F3210», или, Dongguan company «3030», или Tianjin Xi Lika «2360» : Н2О: clorox = (1-10): (50-100): (1-10) (об. соотношения) T = 15-60 °С; Р: 2-25 psi; V : 10-150 мл/мин; подачи р-ра t: 10-60 мин Шероховатость R -0.1-0.2 a мкм; WARP - 20 мкм; TTV - 5 мкм

Л NH2SO2OH (сульфаминовая кислота): С4НР6: H2O2: H2O = 3:1:10:86 (%) Полировальник: поливел (синтетическая замша); рН = 1-2; Р: 0.08-0.12 Па; V : 55-65 об/мин; пол-ник V : 10 мл/мин

InAs (001) [86] (NH4)2Cr2O7 (26 масс. %): HBr (42 масс. %): CH2(0H)CH2(0H) = 11: 49:40 об. % растворена в EG (0- 95 об. %) Полировальник: батистовая ткань; Т = 293 К; V : 2-3 мл/мин; подачи р-ра t: 2-3 мин R - 0.2 нм a

InAs [64] NaOCl (10%-ный раствор) и C6H708 (50%-ный раствор) Полировальник: полиуретан; рН = 7; P: 4 кПа; V : 30 об/мин; пол-ник MMR: 10 нм/мин. Шероховатость - 0.4 нм

GaAs [65] Коммерч. Si02 (30 масс.% водный коллоидный раствор частиц размером 35 нм): Н2О2 (30 масс.% водный раствор) (или NaI03, NaI04): Н2О = 3: 1: 96 (масс.%). Добавлены HN03 или КОН. рН=2-3; Р: 3.5 psi; V : 80 об/мин; пол-ник ' ' V : 72 об/мин; пластины 1 V : 200 мл/мин; подачи р-ра t: 1 мин. Шероховатость - 0.7;0.5. (рост от изначальной шероховатости 0.2 нм)

GaAs [24] NaCl, C6H503SNa (бензолсульфонат натрия), Na4P207, Na2C03, золь Si02 Т=16°С; Р: ^ 90 Н/81 см2; V : 40 об/мин; пол-ник ' ' V : 30-40 об/мин; пластины V : 500 мл/мин; подачи р-ра t: 10 мин. Шероховатость R ~ 15 нм; a ' TTV < 5 мкм

GaAs [64] а) Na0Cl: Н2О= 1:10; б) Na0Cl (10% водный раствор) и C6H708 (10% водный раствор) Полировальник: полиуретан; а) рН=11; б) рН=7; P: 4 кПа; V : 30 об/мин; пол-ник MMR: 10 нм/мин. Шероховатость - а) 0.5 нм; б) 0.4 нм

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

Окончание табл. 1

1 2 3 4

n-GaAs (100) [82] TiO2 (анатаз) (5-10 нм): 7.4 масс.% в Н2О2 (15%-ный водный раствор) Полировальник: замша; Р: 9.8 кПа; V : 80 об/мин; пол-ник 1 V : 60 об/мин; пластины V : 30 мл/мин; подачи р-ра УФ подсветка: l = 250 нм (380 нм) Шероховатость R - 0.4-0.5 нм a

GaAs (2 дюйма) [119] Н2О2 7.0-70.0 об. %; C4H6O6 (30%-ный раствор) 7.0-60.0; EC4 5.0-15.0; H2O Полировальник: батист; P: 4.3-7.5 кПа; V : 20 об/мин; пол-ник V : 15-20 мл/мин; подачи р-ра MMR: 0.4-1.2 мкм/мин Плоскостность TIR - 2-3 мкм

GaAs (диаметр 100 мм) [120] 1 этап - Дихлоризоциануровая кисло- та (C3O3N3CL2NA) 23 масс. %; Трифосфат натрия (Na5P3010) 20-31 масс. %; Na2SO4 8 масс. %; Na2CO3 3 масс. %; H2O SiO2 (50 масс. % коллоидный раствор). 2 этап - Дихлоризоциануровая кисло- та 23 масс. %; Трифосфат натрия 13-19 масс. %; Na2SO4 8 масс. %; Na2CO3 3 масс. %; H2O. SiO2 (50 масс. % коллоидный раствор) Полировальник: полиуретан. Двусторонняя полировка: Р: 50 г/см2; V : верхнего - 7.7 об/мин, пол-ник нижнего - 23.2 об/мин; V : 800 мл/мин; подачи р-ра t: 1 этап - 30 мин, 2 этап 15 мин; MMR: 1 этап -0.6-1.2 мкм/мин; 2 этап - 0.2-0.4 мкм/мин

InP [36] Коммерческий состав на основе SiO2 (коллоидный раствор с размером частиц менее 100 нм в кислотной среде) Полировальник: твердость по Шору D13 Шероховатость -0.4-0.5 нм

InP (001) (4 дюйма) [22] а) NaOCl: Н2О = 1:20; б) СбН807: Н2О = 1:4; Полировальник: полиуретан; P: 4 кПа; V : 30 об/мин; пол-ник MMR: 5 нм/мин. t: 150-210 мин Шероховатость < 1 нм

InP [121] Коммерч. SiO2 (30 масс. % водный коллоидный раствор частиц размером 35 нм): Н2О2 (30 масс. % водный раствор): С2Н2О4 (или С4НбОб, СбН8О7) = 3: 1: 0.72 (масс. %) в Н2О. Добавлены HNO3 или КОН а) рН = 6 (С2Н2О4); б) рН = 8 (СДО7); Р: 24.1 кПа; V : 72 об/мин; пол-ник V : 80 об/мин; пластины V : 200 мл/мин подачи р-ра Шероховатость - а) 0.1 нм; б) 0.7 нм

InP <S> (100) (2 дюйма) [25] SiO2 (раствор частиц 50 нм), C6H8O7 (C3H6°3)> Н2О2 Полировальник: полиуретан и полиэстер; рН = 4-6; Р: 0-100 г/см2; V : 60 об/мин; пол-ник V : 160 мл/мин; подачи р-ра MMR: 0.2-0.7 мкм/мин Шероховатость R(max) - 1.5 нм

InP<S> (100) (2 дюйма) [122,123] a) NaOCl (50 об. %) и C6H8O7; б) NaOCl (50 об. %), C6H8O7, SiO2 (5 масс. % раствор) Полировальник: полиуретан и полиэстер; рН = 5-6 Р: 100 г/см2; V : 40 об/мин; пол-ник V : 30 мл/мин; подачи р-ра MMR: а) 0.05 мкм/мин, б) 0.78 мкм/мин Шероховатость - а) Ra - 0.1 нм, R(max) - 0.8 нм; б) Ra - 0.4 нм, R(max) - 3 нм

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

2) В связи с этим для всех рассматриваемых материалов изучается возможность полировки без абразивных частиц или с использованием абразивных частиц относительно низкой твердости [69-72] нанометровых размеров (не более нескольких десятков нм). Чаще всего используют полировальные составы на основе SiO2. При этом механизм воздействия абразивных частиц остается дискуссионным вопросом [73,74]. В частности, существуют разные взгляды на то, участвует ли SiO2 в химических процессах ХМП материалов А3В5 [30, 66];

3) для более равномерного удаления материала и получения более совершенных поверхностей полировка может осуществляться в несколько последовательных этапов от более грубой к более тонкой обработке. Это обеспечивается снижением скорости съема материала на последующих этапах. Снижение скорости ХМП является общей тенденцией как для одноэтап-ной, так и для многоэтапной полировки. Для этого используют ряд приемов, которые включают [75, 76]:

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

- снижение давления на обрабатываемую пластину до оптимальных значений;

- рассмотренный выше подбор значений рН полировального раствора;

- оптимизацию, в том числе снижение, концентрации реагентов в полировальном составе [22, 34].

Пластины из монокристаллических слитков материалов GaAs, GaSb, InAs, 1^Ь, 1пР сегодня обрабатываются в промышленности посредством ХМП [77], однако очевиден недостаток понимания теоретических основ данного процесса. Это касается, прежде всего, химических аспектов: кинетики и термодинамики взаимодействия материалов с различными окислителями и влияния на них характеристик самого материала (его кристаллографической ориентации, дефектов); влияния механического воздействия на химические процессы; а также взаимодействия полученных при окислении продуктов с комплексообразователями, влияния на кинетику процессов наличия или отсутствия буферных растворов в составе полировальных композиций. В [65] отмечено, что для поддержания рН добавляют КОН, Н^3, №НС03. Предполагается, что они не взаимодействуют с обрабатываемыми материалами. При этом в большинстве исследований вопрос таких добавок не затрагивается.

Помимо изучения условий и полировальных составов традиционной ХМП сегодня также ис-

следуются новые подходы к данной технологии на примерах различных полупроводниковых материалов. Так в исследовании [78] на примере кремния предложена методика химического травления, за которым следует полировка магнитным абразивом с приложением магнитного поля. Полировки магнитными частицами также исследуется в работах [79, 80]. Также есть исследования методик ХМП GaAs с использованием абразивного ледяного диска [81], с абразивом ТЮ2 при ультрафиолетовом (УФ) облучении [82]. На примере 4Н^С исследуется методика планаризации поверхности, где кремний переводят в окисленное состояние путем плаз-менно-электролитического оксидирования с последующим удалением оксида абразивным составом [83]. Такой метод окисления предполагает перенос обрабатываемой пластины из электролитической ячейки на полировальник, что обуславливает трудность масштабирования технологии. В работе [84] предложен способ модернизации ХМП для нитрида галлия с размещением на полировальнике наночастиц золота. По предположению авторов, наночасти-цы золота взаимодействуют с полируемой поверхностью под воздействием УФ-излучения с образованием в валентной зоне обрабатываемого материала носителей заряда - «дырок». Это, в свою очередь, способствует окислению. Данный подход позволил получить шероховатость Яа порядка 1.3 нм, однако использование наночастиц золота существенно усложняет и удорожает процесс.

В исследовании [85] предлагается модернизировать процесс подачи полировального раствора путем его изначальной ионизации при погружении катода и анода в бак с раствором и дальнейшей пульверизации раствора на полировальник при подведении к току жидкости газа (кислорода или азота) непосредственно перед подачей.

3.2. Химическая полировка полупроводниковых пластин и новые подходы к ней

В случае бесконтактной химической полировки полупроводниковых пластин для обеспечения равномерности их химического взаимодействия с травителем процесс проводят в гидродинамических условиях по способу вращающегося диска в устройстве типа «бочка» [17] или при перемешивании раствора вблизи обрабатываемой поверхности. Для химической полиров-

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

ки А3В5 используются растворы на основе таких травящих реагентов как HF, HCl, Br2, NH3-H2O [86] и окислителей HNO3, H2O2 [87]. В исследованиях [88, 89] исследовано влияние брома на химическое травление пластин InSb, InAs, GaAs и обнаружено, что такие составы наиболее применимы для InAs. Однако к недостаткам брома относят высокую химическую активность и токсичность.

В работе [90] предложена методика, сочетающая в едином процессе электрохимическое получение травящего реагента Br2 и химическое травление им пластины GaAs.

В [86] исследовано электрохимическое анодирование пластин в растворе C4H6O6/C2H4(OH)2 при разности потенциалов 50-100 В и последующее химическое удаление полученных оксидов раствором HCl.

В [91] изучена методика полировки поверхности SiC расплавом KOH. Такой подход может рассматриваться и для материалов А3В5. Перспективным направлением исследований представляется подбор реагентов для обработки в их расплавах материалов GaAs, GaSb, InAs, InSb, InP.

В работе [92] предлагается применять ги-дридную газофазную эпитаксию для выравнивания поверхности GaAs (100), при этом сами авторы отмечают высокую стоимость такой обработки. В литературе также приводятся методы полировки GaSb путем реактивного травления поверхности в плазме аргона и реактивного ионного травления в плазме CCl2F2 или CCl4 [86].

Таким образом, можно выделить обработку в расплаве, жидкостной, газофазный, а также электрохимический подходы к химической полировке. Наиболее разработанным из них является жидкофазный подход. Основным недостатком жидкостной, а также электрохимической обработки является трудность обеспечения равномерности процессов взаимодействия с травите-лем всей поверхности пластины.

Новые методы химической обработки полупроводниковых пластин, безусловно, представляют значительный научный интерес. Их практическое применение требует нетривиальных инженерных решений и достижения экономической целесообразности.

4. Очистка и пассивация поверхностей материалов А3В5

Высокая реакционная способность материалов А3В5 обуславливает образование на поверхности пластин нестехиометрических оксидов

элементов 3 и 5 групп при их хранении в воздушной атмосфере. Химический состав и толщина оксидных слоев зависят от условий окружающей среды и изменяются во времени [93]. Присутствие таких естественных оксидов приводит к неоднородности свойств материала на поверхности и в объеме. К наиболее значимым из них относят повышенную плотность поверхностных состояний, появление уровней в запрещенной зоне в приповерхностных слоях. Это влияет на электронные, химические, оптические свойства пластин [86, 94]. Наличие оксидов также сказывается на характере зародышеобразования на начальной стадии эпитаксиального роста структур на подложках и плотности дефектов в эпи-таксиальных слоях [93].

В связи с этим разрабатываются различные методики очистки [40] и пассивации поверхности А3В5. Пассивация может быть отдельным технологическим этапом обработки полупроводниковых подложек или непосредственно сопутствовать полировке без существенного по времени перерыва в технологическом процессе. Также осуществляют пассивацию готовых полупроводниковых структур. Для этого разрабатываются отдельные методы, не рассматриваемые в данном обзоре. Цель пассивации подложек состоит в существенном снижении реакционной способности поверхности полупроводников и стабилизации электронных состояний в приповерхностных слоях пластины.

Очистка проводится как отмывкой, например, в растворах кислот HCl, HNO3, HF или брома [95-97], так и обработкой в плазме водорода [98]. При этом удаление естественных оксидных слоев с поверхности при помощи термического отжига применимо не для всех материалов А3В5. Например, в случае InSb удаление оксидов In происходит при температуре порядка 325 °C, а оксидов Sb при температуре, близкой к температуре плавление материала.

Методики пассивации классифицируют различными способами [99]. Можно выделить подход на основе получаемого химического состава поверхности, когда создают пассивирующие слои при помощи окисления, сульфидирования, нитрирования и т. д. [100].

Также можно классифицировать пассивацию по способам ее осуществления и обобщенно выделить химические методы в растворах и физико-химические методы.

Сегодня разрабатываются методики оксидирования монокристаллических материалов

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

плазменным и анодным окислением. Например, электрохимическое окисление GaSb, 1^Ь, 1пАб проводится в электролитах на основе КМп04, КОН, Н202, Н^О4 [86]. Однако, существенным недостатком электрохимической обработки является неравномерность процессов анодирования в разных областях поверхности пластины [101]. В работе [88] исследовано химическое окисление пластин (111) в растворах НВг-Вг2: Н2О и Н3РО4-Н2О2: Н2О. Обнаружено, что обработка в фосфорной кислоте позволяет получить более толстые рыхлые слои, обогащенные 1п. В то же время обработка растворами на основе Вг2 приводит к образованию более тонких оксидных слоев на поверхности.

В обзоре [102] рассмотрены методики суль-фидирования поверхности InAs в растворах тиолов, цистамина, тиоацетамида, ^Н4)^х, а также аминоксилот и пептидов. Предполагается, что тиолы, образованные углеродными цепочками и группой SH-, склонны к самосборке и образованию на поверхности А3В5 пассивирующего монослоя, препятствующего окислению [95, 103].

В работах [93,84] предложена методика суль-фидирования поверхности в растворе сульфида натрия при температуре 45°С. Как отмечено в [105], в отличие от большинства соединений А3В5, отжиг пластин после обработки серосодержащими растворами приводит к разрыву связей и Sb-S при температурах 310 и 400 °С, соответственно. В [106] предложена методика анодной пассивации в электролите на основе При этом в [107] анодное сульфидирование в электролите на основе серной кислоты привело к обогащению поверхности Sb. В целом отмечается, что сульфидирование поверхностей пластин А3В5 позволяет существенно снизить плотность поверхностных состояний и электроактивных центров, предотвратить взаимодействие с кислородом воздуха за счет образования химических связей с серой [106, 108]. Однако при длительном хранении пластин А3В5 с сульфидирован-ной поверхностью в атмосфере окисление все же возможно [109].

В работе [109] предложен иной подход к пассивации поверхностей GaAs - нанесение на суль-фидированную поверхность пластины GaAs слоя нитрида кремния методом плазмо-химического осаждения из газовой фазы. Этот подход основан на методике пассивации готовых полупроводниковых структур.

Для GaAs разрабатываются также методики нитрирования поверхностей в растворах гидразина [96, 110] и обработкой в плазме азота [111].

Таким образом, эффективная пассивация монокристаллических пластин материалов А3В5 является сегодня важной технологической и научной проблемой [112, 113]. Пригодность и целесообразность тех или иных решений зависит от особенностей дальнейшего применения каждого конкретного материала. Как правило, для приборостроения необходимы как химическая чистота поверхности подложек, так и их высокая планарность. Удаление гетероатомов при подготовке подложки к эпитаксиальному росту способно существенно нарушить планарность поверхности. Это обуславливает необходимость дальнейших исследований и разработок методов пассивации.

5. Заключение

Рассмотрены современные подходы к шлифовке, полировке и пассивации поверхности полупроводниковых пластин материалов GaAs, GaSb, InAs, 1^Ь, 1пР. Уникальные характеристики этих материалов, такие как высокая хрупкость, различная реакционная способность атомов разных сортов, анизотропия свойств в разных кристаллографических направлениях, обуславливают необходимость подбора специальных режимов их полировки и составов полировальных смесей. В обзоре систематизированы подходы к полировке пластин: механическая, химико-механическая, химическая полировка.

Поскольку механическая полировка пластин не позволяет обеспечить требования к качеству поверхности GaAs, GaSb, InAs, 1^Ь, 1пР, она не рассматривается.

В химико-механической полировке А3В5 можно выделить одноэтапный и многоэтапный подходы; абразивную и безабразивную полировку. ХМП является основным методом обработки поверхностей GaAs, GaSb, InAs, 1^Ь, 1пР, так как она наиболее производительна и обеспечивает необходимое качество поверхности. При этом наблюдается недостаток теоретического понимания химических процессов и влияния на них механической составляющей ХМП. Предложенные модели механизмов химико-механической полировки преимущественно разработаны на основе конкретных экспериментальных условий (обрабатываемых материалов, составов полировальных смесей, абразивов). Это обуславливает возможные ограничения их применимости

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

и необходимость корректировки для иных условий обработки полупроводниковых материалов. Помимо теоретических вопросов, ключевой практической проблемой ХМП для арсенидов и фосфидов является выделение токсичных газов AsH3 и РН3. Для антимонидов такая проблема отсутствует. Это делает ХМП наиболее целесообразным и перспективным методом для их 1^Ь, GaSb. Для арсенидов и фосфидов ХМП также представляется наиболее целесообразным способом обработки поверхностей. При этом важным направлением развития и оптимизации технологии для этих материалов является проблематика снижения и устранения выделения токсичных газов в ходе процесса.

Химическая полировка включает жидкостной, газофазный, электрохимический подходы, обработку в расплаве травителя. Жидкостный подход в свою очередь реализуется в двух вариантах: по способу вращающегося диска в устройстве типа «бочка» или при перемешивании раствора вблизи обрабатываемой поверхности. Наиболее разработанным из указанных подходов, как в теоретическом, так и в практическом отношении является жидкостный. Основным недостатком жидкостной, а также электрохимической обработки является трудность обеспечения равномерной обработки всех поверхности пластины. При этом перспективным направлением для научных разработок в области полировки антимонидов, арсенидов и фосфидов индия и галлия может стать обработка поверхности в расплавах травителей.

Также в обзоре рассмотрены и систематизированы методики пассивации поверхности пластин. Выделены подходы: на основе получаемого химического состава поверхности - при помощи окисления, сульфидирования, нитрирования; на основе способов создания пассивирующих покрытий - химические жидкостные методы и физико-химические методы.

Заявленный вклад авторов

Все авторы сделали эквивалентный вклад в подготовку публикации.

конфликт интересов

Авторы заявляют, что у них нет известных финансовых конфликтов интересов или личных отношений, которые могли бы повлиять на работу, представленную в этой статье.

Список литературы

1. Вороненков В. В., Бочкарева Н. И., Вир-ко М. В., ... Шретер Ю. Г Подложки нитрида галлия: современное состояние, проблемы и перспективы. Наноиндустрия. 2017;S(74): 478-483. Режим доступа: https://www.elibrary.ru/item.asp?id=29871698

2. Кормилицина С. С., Молодцова Е. В., Князев С. Н., Козлов Р. Ю., Завражин Д. А., Жарикова Е. В., Сыров Ю. В. Исследование влияния вида обработки на прочность монокристаллических пластин нелегированного антимонида индия. Известия высших учебных заведений. Материалы электронной техники. 2021;24(1): 48-56. https://doi. org/10.17073/1609-3577-2021-1-48-56

3. Allwood D. A., Cox S., Mason N. J., Palmer R., Young R., Walke P. J. Monitoring epiready semiconductor wafers. Thin Solid Films. 2002;412(1-2): 76-83. https ://doi.org/10.1016/S0040-6090(02)00316-4

4. Киселев М. Г., Дроздов А. В., Ямная Д. А. Технология механического распиливания хрупких неметаллических материалов с вынужденными колебаниями заготовки. Минск: БНТУ; 2017. Режим доступа: https://rep.bntu.by/bitstream/handle/data/37305/ Tekhnologiya_mekhanicheskogo_raspilivaniya_ hrupkih_nemetallicheskih_materialov.pdf?sequence= 5&isAllowed=y&ysclid=lhkrlgps56194871673

5. Цетыркина С. А., Зуйков И. Ф., Чумакова И. В., Чумакова А. В. Технология резки стрежней монокристаллического кремния. Актуальные проблемы авиации и космонавтики. 2010;1(6): 25-26. Режим доступа: https://elibrary.ru/item.asp?ysclid=lhkt9qa obe438551147&id=22634031

6. Pei Z., Billingsley S., Miura S. Grinding induced subsurface cracks in silicon wafers. International Journal of Machine Tools and Manufacture. 1999;39(7): 1103-1116. https://doi.org/10.1016/S0890-6955(98)00079-0

7. Meng O., Zhang X., Lu Y., Si J. Calculation and verification of thermal stress in InSb focal plane arrays detector. Optical and Quantum Electronics. 2017;49(402) https://doi.org/10.1007/s11082-017-1243-9

8. Zhang X., Meng O., Zhang L., Lv Y. Modeling and deformation analyzing of InSb focal plane arrays detector under thermal shock. Infrared Physics & Technology. 2014;63: 28-34. https://doi.org/10.1016/j-infrared.2013.12.004

9. Пономарев В. Б., Лошкарев А. Б. Оборудование заводов материалов электронной техники. Методические указания. Курс лекций. Екатеринбург: ГОУ-ВПО УГТУ-УПИ; 2008. 87с. Режим доступа: file:///C :/Users/Lab351/Downloads/Ponomarev_Losh-karev.pdf

10. Технология интегральной электроники/ под. общ. редакцией А. П. Достанко, Л. И. Гурского. Минск: Интегралполиграф; 2009. 571 с.

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

11. Притирка и доводка поверхностей деталей машин / под. общ. редакцией С. Г. Бабаева, П. Г. Са-дыгова. М.: Машиностроение; 1976. 128 с.

12. Marinescu I. D., Rowe W. B., Dimitrov B., Ohmori H. Loose abrasive processes. In book: Tribology of Abrasive Machining Processes. Second Edition. Oxford: William Andrew Publishing; 2013. 399-421. https:// doi.org/10.1016/b978-1-4377-3467-6.00013-6

13. Теплова Т. Б. Квазипластичное удаление поверхностного слоя твердых хрупких материалов с получением нанометрового рельефа поверхности. Научный вестник Московского государственного горного университета. 2010;8: 73-88. Режим доступа: https://www.elibrary.ru/item.asp?id=15278524

14. Горошов В., Захаревич Е., Шавва М. Резание в режиме квазипластичности. Технологическое оборудование и технологии. Фотоника. 2015;49(1): 36-43. Режим доступа: https://www.elibrary.ru/item. asp?id=23107041

15. Doi T., Marinescu I. D., Kurokawa S. The current situation in ultra-precision technology - silicon single crystals as an example. In book: Advances in CMP Polishing Technologies. USA: William Andrew Publishing; 2012. 15-111 p. https://doi.org/10.1016/b978-1-4377-7859-5.00003-x

16. Deaconescu A., Deaconescu T. Experimental and statistical parametric optimisation of surface roughness and machining productivity by lapping. Transactions of famena XXXIX-4. 2015;39: 65-78. Режим доступа: https://hrcak.srce.hr/152134

17. Мирофянченко Е. В., Мирофянченко А. Е., Попов В. С. Способ утонения обратной стороны матричного модуля InSb (100) и его влияние на кристаллическую структуру приповерхностных слоев. Прикладная физика. 2020;2: 46-52. Режим доступа: https://www.elibrary.ru/item.asp?ed-n=rmmbya

18. Prakash S. J., Tyagi R., Gupta A. Backside thinning of GaAs wafer by lapping using DOE approach. In: India International Conference on Power Electronics: Materials of international conference IICPE2010, 2010, India: New Delhi; 2011. p. 1-4. https://doi.org/10.1109/ IICPE.2011.5728072

19. URL: https://www.fujimico.com/catalog/Lap-ping/5

20. Визер Л. Н. Технология элементов и структур микроэлектроники. Ставрополь: Северо-Кавказский федеральный университет; 2017. Режим доступа: https ://www.ncfu.ru/export/uploads/import-ed-from-dle/op/doclinks2017/Metod_Tehnol_ele-men_i_struk_microel_220301_2017.pdf

21. Lee H., Wang H., Park J., Jeong H. Experimental investigation of process parameters for roll-type linear chemical mechanical polishing (Roll-CMP) system. Precision Engineering. 2014;38: 928-934. https://doi.org/10.1016/j.precisioneng.2014.06.003

22. Brightup S. J., Goorsky M. S. Chemical-mechanical polishing for III-V wafer bonding applications: polishing, roughness, and an abrasive-free polishing model. ECS Transactions. 2010;33(4): 383-389. https:// doi.org/10.1149/1.3483528

23. Deng 0., Kong T., Li G., Yuan J. Study on polishing technology of GaAs wafer. Advanced Materials Research. 2012;497: 200-204. https://doi.org/10.4028/ www.scientific.net/AMR.497.200

24. Yi D., Li J., Cao J. Study on fundamental polishing characteristics in chemical mechanical polishing of gallium arsenide (GaAs) wafer. Bulgarian Chem -ical Communications. 2017;49(Special Issue-K1): 113-117. Режим доступа: http://bcc.bas.bg/BCC_ Volumes/Volume_49_Special_K_2017/BCC-49-SI-K1-2017.pdf#page=111

25. ffiZ ШШ,ЖЕ Mill, NIPPON EKUSHIIDO KK. Polishing liquid for compound semiconductor and method for polishing compound semiconductor using the same. Patent No. JP2585963B2, No. JP5341276A; Application 10.12.1993; Publ. 26.02.1997.

26. Lyu B. H., Dai W. T., Weng H. Z., Li M., Deng 0. F., Yuan J. L. Influence of components on the rheological property of shear thickening polishing slurry. Advanced Materials Research. 2016;1136: 461-465. https://doi. org/10.4028/www.scientific.net/amr.1136.461

27. Lortz W., Menzel F., Brandes R., Klaessig F., Knothe T., Shibasaki T. News from the M in CMP—Vis-cosity of CMP slurries, a constant? MRS Proceedings. 2003;767(17): 767. https://doi.org/10.1557/PR0C-767-F1.7

28. Peddeti Sh., Ong P., L. Leunissen H. A., Babu S. V. Chemical mechanical polishing of Ge using colloidal silica particles and H2O2. Electrochemical and Solid-State Letters. 2011;14(7): 254-257. https://doi. org/10.1149/1.3575166

29. Zhao D., Lu X. Chemical mechanical polishing: Theory and experiment. Friction. 2013;1(12): 306-326. https://doi.org/10.1007/s40544-013-0035-x

30. Gao J., Zhou H., Du J., ... Oian L. Effect of counter-surface chemical activity on mechanochemical removal of GaAs surface. Tribology International. 2022;176: 107928. doi.org/10.1016/j.tri-boint.2022.107928

31. Cheng J., Huang S., Li Y., Wang T., Xie L., Lu X. RE (La, Nd and Yb) doped CeO2 abrasive particles for chemical mechanical polishing of dielectric materials: Experimental and computational analysis. Applied Surface Science. 2020;506: 144668. https://doi. org/10.1016/j.apsusc.2019.144668

32. Seo J., Gowda A., Khajornrungruang P., Hama-da S., Song T., Babu S. Trajectories, diffusion, and interactions of single ceria particles on a glass surface observed by evanescent wave microscopy. Journal of Materials Research. 2020;35: 321-331. https://doi. org/10.1557/jmr.2020.6

Е. Н. Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

33. Gowda A., Seo J., Ranaweera C. K., Babu S. Cleaning solutions for removal of ~30 nm ceria particles from proline and citric acid containing slurries deposited on silicon dioxide and silicon nitride surfaces. ECS Journal of Solid State Science and Technology. 2020;9: 044013. https://doi.org/10.1149/2162-8777/ab8ffa

34. Киселева Л. В., Лопухин А. А., Мезин Ю. С., Савостин А. В., Власов П. В., Вяткина О. С. Влияние режимов химической обработки монокристаллов InSb на состав и структуру поверхности. Прикладная физика. 2015;5: 84-89. Режим доступа: https://www. elibrary.ru/item.asp?id=24839899

35. Linehan D. M. Chemical mechanical polishing of InSb. Диссертация на соискание ученой степени магистра. Lund University: 2021. 39 p. Режим доступа: https://lup.lub.lu.se/student-papers/search/pub-lication/9069263

36. Bhonsle R. K., Teugels L., Ibrahim S. A. U., ... Leunissen L. H. A. Inspection, characterization and classification of defects for improved CMP of III-V materials. ECS Journal of Solid State Science and Technology. 2015;4(11): 5073-5077. https://doi. org/10.1149/2.0111511jss

37. Lee H. Semi-empirical material removal model with modified real contact area for CMP. International Journal of Precision Engineering and Manufacturing. 2019;20: 1325-1332. https://doi.org/10.1007/s12541-019-00161-6

38. Chen C.-C., Li J.-C., Liao W.-C., Ciou Y.-J., Chen C.-C. Dynamic pad surface metrology monitoring by swingarm chromatic confocal system. Applied Sciences. 2021;11(1): 179. https://doi.org/10.3390/ app11010179

39. Diss Lee B. Modeling of chemical mechanical polishing for shallow trench isolation. PhD Dissertation. USA: MIT, 2002. 201 p. Режим доступа: https:// core.ac.uk/download/pdf/4397042.pdf

40. Terayama Y., Khajornrungruang P., Suzuki K., Kusatsu K., Hamada S., Wada Y., Hiyama H. Real time nanoscale cleaning phenomenon observation during PVA brush scrubbing by evanescent field. ECS Trans. 2019;92(2): 191-197. https://doi.org/10.1149/09202. 0191ecst

41. Khanna A. J., Jawali P., Redfeld D., ... Bajaj R. Methodology for pad conditioning sweep optimization for advanced nodes. Microelectronic Engineering. 2019;216(15): 111101. https://doi.org/10.10Wj. mee.2019.111101

42. Гольдштейн Р. В., Осипенко М. Н. Химико-механическое полирование. Часть 2. Модель локального взаимодействия. Вестник ПГТУ. Механика. 2011;3: 26-42. Режим доступа: https://www.eli-brary.ru/item.asp ?id=16898671

43. Khanna A. J., Gupta S., Kumar P., Chang F.-C., Singh R. K. Quantification of shear induced agglo-

meration in chemical mechanical polishing slurries under different chemical environments. Microelectronic Engineering. 2019;210: 1 - 7. https://doi.Org/10.1016/j. mee.2019.03.012

44. Han R., Sampurno Y., Theng S., Sudargho F., Zhuang Y., Philipossian A. Application of the Stribeck+ curve in silicon dioxide chemical mechanical pla-narization. ECS Journal of Solid State Science and Technology. 2017;6: 161-164. https://doi.org/10.1149/2. 0241704jss

45. Liao X., Sampurno Y., Zhuang Y., Philipossian A. Effect of slurry application/injection schemes on slurry availability during chemical mechanical planarization (CMP). Electrochemical and Solid-State Letters. 2012;15(4): H118-H122. https://doi. org/10.1149/2.009205esl

46. Lee J.-T., Lee E.-S., Won J.-K., Choi H.-Z. Wafer polishing process with signal analysis and monitoring for optimum condition of machining. Advanced Materials Research. 2010;126-128: 295-304. https://doi. org/10.4028/www.scientific.net/AMR.126-128.295

47. Pourbaix M. Atlas of electrochemical equilibria in aqueous solutions. USA: National Association of Corrosion Engineers, 2nd edition; 1974. 645 p.

48. Lo R., Lo S-L. A pilot plant study using ceramic membrane microfiltration, carbon adsorption and reverse osmosis to treat CMP (chemical mechanical polishing) wastewater. Water Supply. 2004;4(1): 111-118. https://doi.org/10.2166/ws.2004.0013

49. Sioncke S., Brunco D. P., Meuris M., ... Heyns M. M. Etch rates of Ge, GaAs and InGaAs in acids, bases and peroxide based mixtures. ECS Transactions. 2008:16(10): 451-460. https://doi. org/10.1149/1.2986802

50. Frank-Rotsch Ch., Dropka N., Rotsc P. III Arsenide. In Book: Single crystals of Electronic Materials: Growth and Properties. UK: Woodhead Publishing, Elsevier; 2018. pp. 181-240. https://doi.org/10.1016/ B978-0-08-102096-8.00006-9

51. Ong P., Teugel L. CMP processing of high mobility channel materials: alternatives to Si. In book: Advances in Chemical Mechanical Planarization (CMP), 2nd Edition. UK: Woodhead Publishing, Elsevier; 2022. pp. 125-142. https://doi.org/10.1016/B978-0-12-821791-7.00020-4

52. Oin K., Moudgil B., Park C. W. A chemical mechanical polishing model incorporating both the chemical and mechanical effects. Thin Solid Films. 2004;446(2): 277-286. https://doi.org/10.10Wj. tsf.2003.09.060

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

53. Lee H. S., Jeong H. D, Dornfeld D. A. Semi-empirical material removal rate distribution model for SiO2 chemical mechanical polishing (CMP) processes. Precision Engineering. 2013;37: 483-490. https://doi. org/10.1016/j.precisioneng.2012.12.006

Е. Н.Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

54. Seo J. A review on chemical and mechanical phenomena at the wafer interface during chemical mechanical planarization. Journal of Materials Research. 2021;36(1): 235-257. https://doi.org/10.1557/ s43578-020-00060-x

55. Park B., Kim Y., Kim H., Jeong H., Dornfeld D. A. Effect of ceria abrasives on planarization efficiency in STI CMP Process. ECS Transactions. 2009;19(7): 51-59. https://doi.org/10.1149/L3123774

56. Амирханов А. В., Гладких А. А., Макар-чук В. В., Пшенников А. Г., Шахнов В. А. Полиномиальная модель химико-механической планариза-ции в производстве субмикронных СБИС. Вестник МГТУ им. Н. Э. Баумана. Сер. «Приборостроение». 2012;2: 20-36. Режим доступа: https://www.elibrary. ru/item.asp?id=17734975

57. Гольдштейн Р. В., Осипенко М. Н. Химико-механическое полирование. Часть 1. Основные закономерности: обзор. Вестник ПГТУ. Механика. 2011;3: 26-42. Режим доступа: https://www.elibrary. ru/item.asp?id=16898670

58. Runnels S. R. Feature-scale fluid-based erosion modeling for Chemical-Mechanical Polishing. Journal of Electrochemical Society. 1994;141(7): 1900-1904. https://doi.org/10.1149/L2055024

59. Luo J., Dornfeld D. A. Material removal mechanism in chemical mechanical polishing: theory and modelling. IEEE Transactions on Semiconductor Manufacturing. 2001;14(2): 112-133. https://doi. org/10.1109/66.920723

60. Lee H., Lee D., Jeong H. Mechanical aspects of the chemical mechanical polishing process: a review. International Journal of Precision Engineering and Manufacturing. 2016;17(4): 525-536. https://doi. org/10.1007/s12541-016-0066-0

61. Papis Polakowska E., Leonhardt E., Kaniewski J. Characterization of (100) GaSb passivated surface using next generation 3D digital microscopy. Acta Physica Polonica Series a. 2014;125(4): 1052-1055. https://doi.org/10.12693/APhysPolA.125.1052

62. Yan B., Liang H., Liu Y., ... Huang L .Chemical mechanical polishing of GaSb wafers for significantly improved surface quality. Frontiers in Materials. 2021;8: 773131. https://doi.org/10.3389/fmats.2021.773131

63. Hayashi S., Joshi M. B., Goorsky M. S. Chemical mechanical polishing of exfoliated III-V layers. ECS Transactions. 2008;16(8): 295-302. https://doi. org/10.1149/1.2982881

64. Seo D., Na J., Lee S., Lim S. Behavior of GaSb (100) and InSb (100) surfaces in the presence of H2O2 in acidic and basic cleaning solutions. Applied Surface Science. 2017;399: 523-534. https://doi.org/10.1016/j. apsusc.2016.12.114

65. Matovu J. B., Ong P., Leunissen L. H. A., Krish-nan S., Babua S. V. Fundamental investigation of chemical mechanical polishing of GaAs in silica dis-

persions: material removal and arsenic trihydride formation pathways. ECS Journal of Solid State Science and Technology. 2013;2(11): 432-439. https://doi. org/10.1149/2.008311jss

66. Peddeti Sh., Ong P., Leunissen L. H. A., Babu S. V. Chemical mechanical polishing of InP. ECS Journal of Solid State Science and Technology. 2012;1(4): 184-189. https://10.1149/2.016204jss

67. Suryadevara B. Advances in chemical mechanical planarization (CMP). 2nd edition. The UK, Cambridge: Woodhead Publishing; 2021. 648 p.

68. Lee H., Dornfeld D. A., Jeong H. Mathematical model-based evaluation methodology for environmental burden of chemical mechanical planarization process. International Journal of Precision Engineering andManufacturing-Green Technology. 2014;1(1): 1115. https://doi.org/10.1007/s40684-014-0002-7

69. Андреев В. М., Кудряшов Д. А., Мизеров М. Н., Пушный Б. В. Способ полирования полупроводниковых материалов. Патент № RU2457574, H01L 21/302, B82B 3/00; № 2011106341/28; Заявл. 18.02.2011; Опубл. 27.07.2012, бюл. № 21. Режим доступа: https ://patents.s3.yandex.net/ RU2457574C1_20120727.pdf

70. Йошида М., Ашидзава Т., Терасаки Х., ... Оотуки Ю. Абразив из оксида церия и способ полирования подложек. Патент № RU2178599C2, H01L 21/304; № 99109040/28; Заявл. 30.09.1997; Опубл. 20.01.2002, бюл. № 2. Режим доступа: https:// patents.google.com/patent/RU2178599C2/ru

71. Lee H., Jeong H. Analysis of removal mechanism on oxide CMP using mixed abrasive slurry. International Journal of Precision Engineering and Manufacturing. 2015;16(3): 603 - 607. https://doi.org/10.1007/ s12541-015-0081-6

72. Lee H., Lee D., Kim M., Jeong H. Effect of mixing ratio of non-spherical particles in colloidal silica slurry on oxide CMP. International Journal of Precision Engineering and Manufacturing. 2017;18(10): 13331338. https://doi.org/10.1007/s12541-017-0158-5

73. Lee H. S., Jeong H. D. Chemical and mechanical balance in polishing of electronic materials for defect-free surfaces. CIRP Annals. 2009;58(1): 485-490. https://doi.org/10.1016/j.cirp.2009.03.115

74. Lee H. Tribology research trends in chemical mechanical polishing (CMP) process. Tribology and Lubricants. 2018;34(3): 115-122. https://doi. org/10.9725/KTS.2018.34.3.115

75. Suzuki N., Hashimoto Y., Yasuda H., Yamaki S., Mochizuki Y. Prediction of polishing pressure distribution in CMP process with airbag type wafer carrier. CIRP annals. 2017;66(1): 329-332. https://doi. org/10.1016/j.cirp.2017.04.088

76. Park J.-Y., Han J.-H., Kim C. A study on the influence of the cross-sectional shape of the metal-inserted retainer ring and the pressure distribution from

Е. Н.Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

the multi-zone carrier head to increase the wafer yield. Applied Sciences. 2020;10(23): 8362. https://doi. org/10.3390/app10238362

77. Martinez B., Flint J. P., Dallas G., ... Furlong M. J. Standardizing large format 5" GaSb and InSb substrate production. Proceedings Volume 10177, Infrared Technology and Applications XLIII. 2017; 10177. https://doi. org/10.1117/12.2263961

78. Pandey Kh., Pandey P. M. Chemically assisted polishing of monocrystalline silicon wafer Si (100) by DDMAF. Procedia Engineering. 2017;184: 178-184. https://doi.org/10.1016Zj.proeng.2017.04.083

79. Kum Ch. W., Sato T., Guo J., Liud K., Butler D. A novel media properties-based material removal rate model for magnetic field-assisted finishing. International journal of mechanical sciences. 2018;141: 189197. https://doi.org/10.1016/j.ijmecsci.2018.04.006

80. Zhang J., Wang H., Kumar S., Jin M. Experimental and theoretical study of internal finishing by a novel magnetic driven polishing tool. International Journal of Machine Tools and Manufacture. 2020;153: 103552. https://doi.org/10.1016/j.ijmach-tools.2020.103552

81. Lu W. Z., Zuo D. W., Sun Y. L., Zhao Y. F., Xu F., Chen R. F. Temperature field during CMP GaAs wafer using an AID. Key Engineering Materials. 2009;416: 28-33. https://doi.org/10.4028/www.scientific.net/ KEM.416.28

82. Hong S. H., Isii H., Touge M., Watanabe J. Investigation of chemical mechanical polishing of GaAs wafer by the effect of a photocatalyst. Key Engineering Materials. 2005;291-292: 381-384. https://doi. org/10.4028/www.scientific.net/KEM.291-292.381

83. Ma G., Li S., Liu X., Yin X., Jia Z., Liu F. Combination of plasma electrolytic processing and mechanical polishing for single-crystal 4H-SiC. Micromachines. 2021;12: 606-618. https://doi.org/10.3390/ mi12060606

84. Ou L., Dong Zh., Kang R., Shi K., Guo D. Pho-toelectrochemically combined mechanical polishing of n-type gallium nitride wafer by using metal nanoparticles as photocathodes. The International Journal of Advanced Manufacturing Technology. 2019;105: 4483-4489. https://doi.org/10.1007/s00170-018-03279-5

85. Jo H., Lee D. S., Jeong S. H., Lee H. S., Jeong H. D. Hybrid CMP slurry supply system using ionization and atomization. Applied Sciences. 2021;11: 2217-2233. https://doi.org/10.3390/app11052217

86. Papis Polakowska E. Surface treatments of GaSb and related materials for the processing of mid-infrared semiconductor devices. Electron Technology - Internet Journal. 2006;37/38(4): 1-34. Режим доступа: https://yadda.icm.edu.pl/baztech/element/bwmeta1. element.baztech-article-BWA0-0014-0022

87. Эминов Ш. О., Джалилова Х. Д., Мамедо-ва Э. А. Жидкостное химическое травление плоскостей (111)In и Sb подложек из InSb. Неорганические материалы. 2011;47(4): 394-398. Режим доступа: https://www.elibrary.ru/item.asp?id=16311210

88. Aureau D., Chaghi R., Gerard I., Sik H., Fleury J., Etcheberry A. Wet etching of InSb surfaces in aqueous solutions: Controlled oxide formation. Applied Surface Science. 2013;276: 182-189. https://doi.org/10.10Wj. apsusc.2013.03.063

89. Tomashik Z. F., Kusyak N. V., Tomashik V. N. Chemical etching of InAs, InSb, and GaAs in H2O2-HBr solutions. Inorganic Materials. 2002;38(5): 443-4-437. https://doi.org/10.1023/A:1015402501421

90. Han L., Xu H., Sartin M. M., ... Tian Zh.-O. Pulse potential confined electrochemical polishing on gallium arsenide wafer. Journal of The Electrochemical Society. 2021;168: 043507. https://doi. org/10.1149/1945-7111/abf96f

91. Zhang Y., Chen H., Liu D., Deng H. High efficient polishing of sliced 4H-SiC (0001) by molten KOH etching. Applied Surface Science. 2020;525: 146532. https://doi.org/10.10Wj.apsusc.2020.146532

92. Braun A. K., Ptak A. J. Planarization of rough (100) GaAs substrates via growth by hydride vapor phase epitaxy. IEEE 48th Photovoltaic Specialists Conference (PVSC): Conference Record, 2021, Fort Lauderdale, FL, USA; 2021. pp. 1437-1439. https://doi. org/10.1109/PVSC43889.2021.9518828

93. Львова Т. В., Дунаевский М. С., Лебедев М. В., Шахмин А. Л., Седова И. В., Иванов С. В. Химическая пассивация подложек InSb (100) в водных растворах сульфида натрия. Физика и техника полупроводников. 2013;47(5): 710-716. Режим доступа: https://journals.ioffe.ru/articles/viewPDF/4979

94. Кульчицкий Н. А., Наумов А. В., Старцев В. В. Фотоника - новый драйвер GaAs. Фотоника. 2020;14(2): 138-149. https://doi.org/10.22184/1993-7296.FRos.2020.14.2.138.149

95. Holloway G. W., Haapamaki Ch. M., Kuyanov P., LaPierre R. R., Baugh J. Electrical characterization of chemical and dielectric passivation of InAs nanowires. Semiconductor Science and Technology. 2016;31(11): 1 14004. https://doi.org/10.1088/02 681242/31/11/114004

96. Zou X., Li Ch., Su X., ... Yartsev A. Carrier recombination processes in GaAs wafer passivated by wet nitridation. ACS Applied Materials and Interfaces. 2020;12(25): 28360-29367. https://doi.org/10.1021/ acsami.0c04892

97. Tereshchenko O. E., Chikichev S. I., Tere-khov A. S. Atomic structure and electronic properties of HCl-isopropanol treated and vacuum annealed GaAs 100 surface. Applied Surface Science. 1999;142: 75-80. https://doi.org/10.1016/S016 9-4332(98)00634-5

Е. Н.Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

98. Haworth L., Lu J., Westwood D. I., MacDonald J. E. Atomic hydrogen cleaning, nitriding and annealing InSb (100). Applied Surface Science. 2000;166: 253-258. https://doi.org/10.1016/S0169-4332(00)00425-6

99. Миттова И. Я., Сладкопевцев Б. В., Донцов А. И., Сыров Ю. В., Ковалева А. С., Тарасова О. С. Термическое оксидирование поверхности монокристаллического GаAs, обработанной в парах серы. Неорганические материалы. 2021;57(7); 693-699. https ://doi. org/ 10. 31857/ S0002337X21070137

100. Сыров Ю. В. Взаимодействие антимонида индия с парами теллура. Физико-химические процессы в конденсированных средах и на межфазных границах: Материалы VII Всероссийской конференции, 10-13 ноября 2015, Воронеж. Воронеж: Изда-тельско-полиграфический центр «Научная книга»; 2015. с. 292-293.

101. Hasegawa H., Hartnagel H. L. Anodic oxidation of GaAs in mixed solutions of glycol and water. Journal of The Electrochemical Society. 1976;123(5): 713-723. https://doi.org/10.1149/1.2132915

102. Jewett S. A., Ivanisevic A. Wet-chemical passivation of InAs: toward surfaces with high stability and low toxicity. Accounts of Chemical Research. 2012;45(9): 1451-1459. https://doi.org/10.1021/ ar200282f

103. Sun M. H., Joyce H. J., Gao 0., Tan H. H., Jaga-dish C., Ning C. Z. Removal of surface states and recovery of band-edge emission in InAs nanowires through surface passivation. NanoLetters. 2012;12(7): 3378-3384. https://doi.org/10.1021/nl300015w

104. Solov'ev V. A., Sedova I. V., Lvova T. V., ... Ivanov S. V. Effect of sulfur passivation of InSb (0 0 1) substrates on molecular-beam homoepitaxy. Applied Surface Science. 2015;356: 378-382. https://doi. org/10.1016/j.apsusc.2015.07.200

105. Zhernokletov D. M., Dong H., Brennan B., Kim J., Wallace R. M. Optimization of the ammonium sulfide (NH4)2S passivation process on InSb(111)A. Journal ofVacuum Science & TechnologyB. 2012;30(4): 04E103. https://doi.org/10.11W1.4719961

106. Мирофянченко А. Е., Мирофянченко Е. В., Лаврентьев Н. А., Попов В. С. Пассивация фоточувствительных элементов InSb (100) анодным окислением в растворе сульфида натрия с предварительным сульфидированием поверхности. Прикладная физика. 2020;3: 33-39. Режим доступа: https://www.elibrary.ru/item.asp?id=43807692

107. Kunstler-Hourriez B., Erne B., Lefevre F., ... Etcheberry A. Surface reactivity of InSb studied by cyclic voltammetry coupled to XPS. Journal de Physique IV (Proceedings). 2006;132: 147-151. https://doi. org/10.1051/jp4:2006132029

108. Gong X. Y., Yamaguchi T., Kan H., ... Rinfret R. Sulphur passivation of InAs. Applied Surface Science. 1997;113/114: 388-392. https://doi.org/10.1016/ S0169-4332(96)00936-1

109. Richard O., Blais S., Ares R., Aimez V., Jaouad A. Mechanisms of GaAs surface passivation by a one-step dry process using low-frequency plasma enhanced chemical deposition of silicon nitride. Microelectronic Engineering. 2020;233: 111398. https:// doi.org/10.1016/j.mee.2020.111398

110. Chellu A., Koivusalo E., Raappana M., ... Hak-karainen T. Nanotechnology paper GaAs surface passivation for InAs/GaAs quantum dot based nanopho-tonic devices. Nanotechnology. 2021;32(13): 130001. https://doi.org/10.1088/1361-6528/abd0b4

111. Mehdi H., Reveret F., Robert-Goumet C... Pelissier B. Investigation of N2 plasma GaAs surface passivation efficiency against air exposure: towards an enhanced diode. Applied Surface Science. 2022;579: 152191. https://doi.org/10.1016/j.apsusc.2021.152191

112. Syrov Y. V. Interaction of indium antimonide with saturated sulfur vapor. Doklady Chemistry. 2016;471(2): 365-367. https://doi.org/10.1134/ S0012500816120077

113. Добровольский Д. С., Давыгора А. П., Сыров Ю. В., Молодцова Е. В. Физико-химические процессы в конденсированных средах и на межфазных границах: Материалы VIIВсероссийской конференции, 10-13 ноября 2015, Воронеж. Воронеж: Изда-тельско-полиграфический центр «Научная книга»; 2015.с. 192-193.

114. ттп, шш, мп, шш, шж,

Ж^Я, mff. Polishing method of indium antimonide single crystal wafer. Patent CN110788739A, B24B 57/02, C09G 1/0; No CN201911058927.XA; Application 31.10.2019; Publ. 14.02.2020. Режим доступа: https://patents.google.com/patent/ CN110788739A/en

115. Levchenko I., Tomashyk V., Stratiychuk I., Malanych G. Formation of the InAs-, InSb-, GaAs-, and GaSb-polished surface. AppliedNanoscience. 2018;8: 949-953. https://doi.org/10.1007/s13204-018-0788-7

116. ж^, шштт, гш,

ШЖя, ШИШ. A kind of polishing method for gallium antimonide monocrystalline piece. Patent No CN106064326B, B24B 1/0, B24B; No CN201610615129.2A; Application 01.08.2016; Publ. 03.06.2018. Режим доступа: https://patents.google. com/patent/CN106064326A/en

117. ШШ, МШ, ЦЧШ. Double-surface polishing method for gallium antimonide wafer. Patent No CN102554750A, H01L 21/304; No CN2010106226200A; Application 29.12.2009; Published 11.07.2012.

118. Ковалишина Е. А. Способ финишного химико-механического полирования пластин InAs. Патент

Е. Н.Абрамова и др. Современные научные и практические решения в технологии изготовления подложек...

№ RU2582904C1, H01L 21/304; № 2014153880/28; Заявл. 29.12.2014; Опубл. 27.04.2016, бюл. № 12. Режим доступа: https://patents.google.com/patent/ RU2582904C1/ru

119. Киселева Л. В., Болтарь К. О., Власов П. В., ... Савостин А. В. Способ химико-механического полирования пластин арсенида галлия. Патент № RU2545295C1, C30B 33/00, H01L 21/304, H01L 21/306, C30B 29/42; № 2014103552/05; Заявл. 03.02.2014; Опубл. 27.03.2015, бюл. №9. Режим доступа: https ://yandex. ru/patents/do с/ RU2545295C1_20150327

120. Nakayama M., Itami H., Yamazaki T., Itami H. Mechano-chemical polishing method for GaAs wafer. Patent No DE102008004441A1, H01L 21/302, C09G 1/04; No 2007-030735; Application 09.02.2007; Publ. 14.08.2008. Режим доступа: https://patents.google. com/patent/EP1763071A1/en

121. Matovu J. B., Ong P., Leunissen L. H. A., Krish-nan S., Babu S. V. Use of multifunctional carboxylic acids and hydrogen peroxide to improve surface quality and minimize phosphine evolution during chemical mechanical polishing of indium phosphide surfaces. Industrial and Engineering Chemistry Research. 2013;52: 10664-10672. https://doi.org/10.1021/ ie400689q

122. Morisawa Y., Kikuma I., Takayama N., Takeu-chi M. Mirror polishing of InP wafer surfaces with NaOCl-citric acid. Applied Surface Science.1996;92: 147-150. https://doi.org/10.1016/0169-4332(95)00219-7

123. Morisawa Y., Kikuma I., Takayama N., Takeu-chi M. Effect of SiO2 powder on mirror polishing of InP wafers. Journal of Electronic Materials. 1996;26(1): 34-36. https://doi.org/10.1007/s11664-997-0130-8

Информация об авторах

Абрамова Елена Николаевна, к. х. н., в. н. с., АО «Гиредмет», ПАО «Росатом» (Москва, Российская Федерация).

https://orcid.org/0009-0002-7724-804X overmind11@rambler.ru

Козлов Роман Юрьевич, аспирант 4-го года обучения, НИТУ «МИСИС», начальник лаборатории, АО «Гиредмет», ПАО «Росатом» (Москва, Российская Федерация).

rykozlov@rosatom.ru

Хохлов Анатолий Ильич, к. т. н., в. н. с., АО «Ги-редмет», ПАО «Росатом» (Москва, Российская Федерация).

yaniki-220@mail.ru

Сыров Юрий Вячеславович, к. ф.-м. н., в. н. с., АО «Гиредмет», ПАО «Росатом» (Москва, Российская Федерация).

https://orcid.org/0000-0003-2226-5790 yvsyrov@yandex.ru

Пархоменко Юрий Николаевич, д. ф.-м. н., профессор кафедры материаловедения полупроводников и диэлектриков, НИТУ «МИСИС» (Москва, Российская Федерация).

https://orcid.org/0000-0002-1970-9867 parkhomenko.in@misis.ru

Поступила в редакцию 07.04.2023; одобрена после рецензирования 24.06.2023; принята к публикации 15.09.2023; опубликована онлайн 25.03.2024.

i Надоели баннеры? Вы всегда можете отключить рекламу.