Научная статья на тему 'САПР для получения законов функционирования преобразователей кодов с параллельной стратегией'

САПР для получения законов функционирования преобразователей кодов с параллельной стратегией Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
99
21
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Какурин Николай Яковлевич, Лопухин Юрий Владимирович, Бочаров Евгений Витальевич, Вареца Виталий Викторович, Макаренко Анна Николаевна

Рассматриваются возможности подсистем САПР «TRANS» для получения таблиц законов функционирования многоблочных преобразователей кодов, функционирующих по методу накопления эквивалентов и использующих параллельную стратегию шагов преобразования.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Какурин Николай Яковлевич, Лопухин Юрий Владимирович, Бочаров Евгений Витальевич, Вареца Виталий Викторович, Макаренко Анна Николаевна

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

CAD for receipt of functioning laws of transformers of codes with parallel strategy

Possibilities of the subsystems CAD ォTRANSサ for the receipt of tables of functioning laws of multisectional transformers of codes functioning on the method of accumulation of equivalents and steps of transformation using parallel strategy are examined.

Текст научной работы на тему «САПР для получения законов функционирования преобразователей кодов с параллельной стратегией»

УДК 681.325.53: 37: 004.5

Н.Я.КАКУРИН, Ю.В. ЛОПУХИН, Е.В. БОЧАРОВ, В.В. ВАРЕЦА, А.Н. МАКАРЕНКО

САПР ДЛЯ ПОЛУЧЕНИЯ ЗАКОНОВ ФУНКЦИОНИРОВАНИЯ ПРЕОБРАЗОВАТЕЛЕЙ КОДОВ С ПАРАЛЛЕЛЬНОЙ СТРАТЕГИЕЙ

Рассматриваются возможности подсистем САПР «TRANS» для получения таблиц законов функционирования многоблочных преобразователей кодов, функционирующих по методу накопления эквивалентов и использующих параллельную стратегию шагов преобразования.

1. Постановка задачи

В настоящее время большинство ЭВМ функционируют в двоичной системе счета. Исходные данные и результаты решения задач должны быть представлены в форме, удобной для восприятия их человеком, т.е. в десятичной системе счисления.

По этой причине возникает задача преобразования чисел из десятичной системы в двоичную и обратно. Операции преобразования чисел из одной системы в другую можно выполнять как программным способом, так и аппаратным. Аппаратный способ преобразования чисел с помощью специализированного блока - преобразователя кодов (ПК) предпочтителен, так как он не снижает быстродействие компьютера и позволяет совместить решение одной задачи с подготовкой данных для ввода/вывода другой.

Наиболее удобным методом преобразования чисел аппаратным способом является метод накопления эквивалентов. Этот метод гибкий и позволяет за счет выбора числа шагов преобразования, значений шагов и стратегий их использования (последовательной или параллельной) обеспечить требуемые значения быстродействия и аппаратурных затрат. Преимуществом параллельной стратегии использования шагов является возможность дальнейшего увеличения быстродействия на 20-30% по сравнению с последовательной стратегией.

Цель данной работы - автоматизация этапа системного проектирования преобразователей кодов целых чисел с параллельной стратегией использования шагов преобразования в широком диапазоне разрядностей, оснований систем счета и значений шагов.

Основными задачами являются:

- анализ двух вариантов структурной организации и функционирования ПК - с последовательной и параллельной стратегией использования шагов преобразования;

- рассмотрение возможностей подсистемы САПР «TRANS» для проектирования ПК, как параллельного, так и последовательного типов.

2. Последовательная стратегия

При использовании последовательной стратегии преобразования чисел процесс преобразования сводится к последовательному вычитанию из состояния разрядного счетчика, в котором хранится цифра преобразуемого числа, значения шага преобразования. Если значение системы счета на входе К, то максимальное значение цифры преобразуемого числа равно К-1. Следовательно, максимальное число тактов преобразования старших разрядных цифр равно К-1. Затем, еще один такт используется для трансляции (передачи) значения цифры младшего разряда. Таким образом, суммарное число тактов преобразования равно, т.е. ^=К. В двухшаговом ПК вначале ведется преобразование с шагом а. При

наличии в одном из старших разрядов xm(m = 2,n) максимального значения цифры

xm = K -1 потребуется на первом этапе ](K - 1)/a[ шагов, преобразования, где ] [ означают округление до меньшего целого. Затем, если хотя бы одна из оставшихся старших цифр имеет значение x; = a -1, потребуется на втором этапе еще а-1 такт для обнуления с шагом 1 всех старших разрядных счетчиков. Следует добавить еще один такт (третий этап) для трансляции младшей цифры x 0 . Следовательно, 32

Кцел = ](К - 1)/а[+ (а -1) +1 = ](К - 1)/а[+ а. (1)

Рассмотрим структуру двухшагового ПК (рис.1), предложенную в целях повышения быстродействия одношагового ПК в Г11.

X.

+1 -1

V

ст

Я1

181 1

1£>

г

20

"фЭ 13 I

1

19

С

1 ОС,

ц

14

]

01

1

2 г

. V 1 «5 <

со

16

+1

■ 1

V

ст V.

12,

ш

10,

+1 -1 -

V

ст

и

12-1

2 р

ю.

Пуск Останов

31

»с 32

-1 , г 17 ^

-е> не

ш

J

к

нем 7

г5' 1 *

2 Г

> ■

г^-

г

£

1_. _____________J

Г132

Рис. 1. Структура двухшагового ПК с последовательной стратегией Двухшаговый ПК двоично-К-ичного кода в двоичный код содержит группу разрядных счетчиков I, блок управления (генератор) импульсов 2, содержащий прямой П, прямые задержанные П31 и П32 выходы, первую группу триггеров 3 состояния, вторую группу триггеров 4 состояния, комбинационный двоичный сумматор 5 и регистр 6 результата, образующие в совокупности накапливающий сумматор 7, группу элементов И-НЕ 8, группу элементов И-НЕ 9, группу дешифраторов нуля 10, группу дешифраторов превышения 11, группу шифраторов 12, формирователь эквивалента 13, включающий в свой состав первый 14 и второй 15 дешифраторы и шифратор 16, элемент ИЛИ-НЕ 17, группу элементов ИЛИ 18.

В состав формирователя эквивалентов 13 также входят элемент НЕ 19, группа элементов И 20 и группа элементов ИЛИ 21. Функционирование двухшагового ПК происходит следующим образом.

Группа триггеров 3 фиксирует ненулевое значение счетчиков соответствующих разрядов; группа триггеров 4 - значение старших разрядных счетчиков, превышающее заданное

число а — 1, например 1.

Шифраторы 12 реализуют следующую функцию:

У = {Х — а;Х > а; {Х;Х < а, где Х - входной код тетрады; а - параметр, в частном случае, равный 2. Цепи инициирования и сброса на рис. 1 не показаны. Так как в конкретном случае п = 4, К = 12, то диапазон изменения входного кода 0 — (124 — 1) = 0 — 2073510. Код состояний триггеров как первой группы 3, так и второй 4 имеет 23 = 8 значений от 000 до III.

ФЭ 13, выполненный в виде последовательного соединения первого 14 и второго 15 дешифраторов, реализует функцию

§ = 1КС1 + К2С2 + К3С3; Д1 = Д 2 = Д 3 = 0;

|аКД1 + аК2Д2 + аК3Д3;ДьД2,Д3 * 0; (2)

где СЬС2,С3 - значения разрядов двоичного кода триггеров состояний первой группы 3; Д1, Д 2, Д 3 - значения разрядов двоичного кода триггеров состояния второй группы 4.

Каждый из Ст (т = 1,3) триггеров 3 первой группы состояний разрядных счетчиков соответствует наличию (1) или отсутствию (0) информации в соответствующем старшем разряде преобразуемого кода, а разряд Д т (т = 1,3) триггеров 4 второй группы состояний разрядных счетчиков равен 1,если соответствующий разряд преобразуемого кода имеет значение Х; > а(2 < а < к — 1), в противном случае Дт = 0 .

ФЭ 13 преобразует вначале двоичный код Д3, Д 2, Д1 триггеров состояний второй

группы, затем при Д 3, Д 2, Д1 = 0 преобразует двоичный код С3, С2, С1 триггеров состояний

первой группы и при Д 3 = Д 2 = Д1 = С3 = С2 = С1 =000 выполняет трансляцию (передачу) двоично-К-ичного кода младшего разряда в двоичный код эквивалента на выходе.

Двухшаговый ПК работает следующим образом. Пусть требуется преобразовать входной 12-ричный код числа

А0 = 923812 = 1001*0010*0011* 1000(2 12) = 9*123 + 2*122 + 3 * 121 + 8* 120 =

(3)

= 9*1728 + 2*144 + 3*12 + 8 = 1588410 ^ '

Для определенности примем, что дешифраторы превышения 11 настроены на определение значений разрядных цифр Х; > 2 . Следовательно, в этом случае каждый разряд Д т (т = 1,3) триггеров 4 второй группы состояний разрядных счетчиков равен I, если соответствующий разряд преобразуемого кода имеет значение Х; > 2 , в противном случае Дт = 0 . Шифраторы 12 формируют выходное слово, меньшее на 2 единицы по отношению к входному слову.

ФЭ 13 в данном случае реализует функцию

§ = Г12С1 + 144С2 + 1728С3;Д1= Д 2 — Д 3 = 0;

124Д1 + 288Д2 + 3456Д3; Дь Д2, Д3 * 0. (4)

Преобразование двоичных кодов триггеров состояний первой 3 и второй 4 группы соответствует табл. 1. В табл. 1 8 означает общий вид эквивалента, а 8ю - десятичный код эквивалента.

Выхо- Состояние триггеров Двоичный код

ды БС Второй группы Первой группы Б Б1с эквивалента

Д3Д2Д1 С 3С 2С1 УпУ1сУ9У8 У7У6У5У4 У3У2У1Ус

2с ссс ссс хс хс Трансляция тетрады хс

21 ссс сс1 к 12 сссс сссс 11сс

22 ссс с1с к2 144 сссс 1сс1 сссс

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

2з ссс с11 к2 + к 156 сссс 1сс1 11сс

24 ссс 1сс к3 1728 сис 11сс сссс

25 ссс 1с1 к3 + к 174с сис 11сс 11сс

2б ссс 11с к3 + к2 1872 с111 с1с1 сссс

27 ссс 111 к3 + к2 + к 1884 с111 с1с1 11сс

28 сс1 ХХ1 ак 24 сссс ссс1 1ссс

29 с1с Х1Х ак2 288 ссс1 сс1с сссс

210 с11 Х11 ак2 + ак 312 ссс1 сс11 1ссс

2ц 1сс 1ХХ ак3 3456 11с1 1ссс сссс

212 1с1 1Х1 ак3 + ак 348с 11с1 1сс1 1ссс

213 11с 11Х ак3 + ак2 3744 111с 1с1с сссс

214 111 111 аЕ? + ак2 + ак 3768 111с 1с11 1ссс

3. Параллельная стратегия

Дальнейшего увеличения быстродействия ПК можно достичь или за счет увеличения числа шагов в наборе до трех 1, а, Ь (Ь - третий шаг) при сохранении принципа последовательного использования шагов преобразования (вначале Ь, затем а и в заключение шаг 1), или за счет параллельного (одновременного) вычитания различных шагов из различных разрядных цифр. Усиление локального параллелизма достигается допущением возможности одновременного использования различных шагов преобразования в различных старших разрядах числа.

Выражение для формулы максимального числа тактов преобразования двухшагового ПК с параллельным использованием шагов преобразования получить в явном виде затруднительно. Определить же значение максимального числа тактов преобразования можно путем моделирования процесса преобразования для различных наборов шагов и путем анализа результатов (табл. 2).

Анализ табл.2 показывает, что для К = 12 в двухшаговом ПК с параллельным использованием шагов преобразования минимальное значение числа тактов преобразования равно пяти для наборов (1, 3); (1, 4); (1, 5). Подобный анализ, проведенный для наборов 1,6 -1,11, дает рост числа тактов преобразования до шести - десяти.

Таким образом, по сравнению с принципом последовательного использования шагов преобразования число тактов сокращается на один (5 вместо 6). Среди трех наборов шагов

преобразования оптимальным по дополнительному критерию минимума аппаратных затрат является набор 1,4, при использовании которого отсутствуют затраты ЛЭ на шифратор 12 (табл. 2). В табл. 2 КТ означает номер такта преобразования.

Таблица 2

Ж К=12 Набор шагов (1,2) К=12 Набор шагов (1,3)

11 10 9 8 7 6 5 4 3 2 1 0 11 10 9 8 7 6 5 4 3 2 1 0

1 9 8 7 6 5 4 3 2 1 0 0 0 8 7 6 5 4 3 2 1 0 2 0 0

2 7 6 5 4 3 2 1 0 0 0 0 0 5 4 3 2 1 0 1 0 0 1 0 0

3 5 4 3 2 1 0 0 0 0 0 0 0 2 1 0 1 0 0 0 0 0 0 0 0

4 3 2 1 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0

5 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

6 0 0 0 0 0 0 0 0 0 0 0 0

Ж К=12 Набор шагов 1,4 К=12 Набор шагов 1,5

11 10 9 8 7 6 5 4 3 2 1 0 11 10 9 8 7 6 5 4 3 2 1 0

1 7 6 5 4 3 2 1 0 2 1 0 0 6 5 4 3 2 1 0 3 2 1 0 0

2 3 2 1 0 2 1 0 0 1 0 0 0 1 0 3 2 1 0 0 2 1 0 0 0

3 2 1 0 0 1 0 0 0 0 0 0 0 0 0 2 1 0 0 0 1 0 0 0 0

4 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0

5 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0

При К=10 и параллельном использовании шагов преобразования минимальными наборами шагов, обеспечивающими преобразование за 4 такта, являются наборы 1,3 и 1,4.

Лучший среди них - набор 1,4 (по причине простой реализации шифраторов 12).

Повышение быстродействия двухшаговых ПК с параллельным использованием шагов преобразования достигается ценой некоторого усложнения ФЭ и ЛЭ для управления вычитанием шагов а и 1 из содержимого разрядных счетчиков.

Логика управления в двухшаговом ПК параллельного типа выполнена так, чтобы запретить возможность вычитания шага 1, если в этом разряде имеется возможность вычитания шага а. И наоборот, если значение разряда хт находится в пределах 1 < хт < а, то следует разрешить опрос вентиля, управляющего вычитанием 1 из разрядного счетчика, хранящего хт .

Этот принцип управления реализуется в двухшаговом ПК параллельного типа путем замены ( п-1 ) входного элемента ИЛИ-НЕ блоком инверторов (инверторы 17!, 172), вход каждого из которых связан с единичным выходом соответствующего триггера старшего регистра состояний РГ4, а выход соответствующего инвертора соединяется с управляющими входами схем И 81, И 82, на информационные входы которых поступают сигналы с единичных выходов триггеров этого разряда младшего регистра состояний РГ3 (рис. 2).

Закон функционирования ФЭ для двухшагового ПК параллельного типа для набора шагов 1,2 и К=12 приведен в табл. 3. Детально параллельная стратегия приведена в [2,3].

Сравнение табл. 1 и 3 показывает, что ФЭ двухшагового ПК параллельного типа имеет 27 строк; ПК последовательного типа (см. табл.1) - всего 15 строк.

4. Программное средство для расчета аппаратурных затрат в ФЭ

Программное средство предназначено для получения значений эквивалентов, которые должен выдавать блок ФЭ, а также для расчета аппаратурных затрат в долях корпусов ИМС на реализацию каждой функции выхода ФЭ. Программа рассчитана на ПК, функционирующие по методу накопления эквивалентов.

Для того чтобы произвести расчет в данном режиме, необходимо запустить программу путем активизации исполняемого модуля TRANS.exe.

После запуска программы появится пять текстовых полей для ввода данных. С помощью этих элементов управления необходимо задать исходные данные для режима преобразования чисел: основание системы счисления на входе (3-15), разрядность преобразуемого числа (1-24), количество блоков (1-24), количество шагов преобразователя (1-8) и их значения, тип преобразователя (последовательный или параллельный).

Номер Состояние триггеров Двоичный код эквивалента

набора Второй группы Первой группы S S10

Д 3 Д 2 Д1 СзС 2 С1 у12у11у10у9 у8у7у6у5 у4у3у2у1

0 000 000 X0 X0 Трансляция младшей тетрады

1 000 001 K1 12 0000 000 1100

2 000 010 K2 144 0000 1001 0000

3 000 011 K2 + K1 156 0000 1001 1100

4 000 100 K3 1728 0110 1100 0000

5 000 101 K3 + K1 1740 0110 1100 1100

6 000 110 K3 + K2 1872 0111 0101 0000

7 000 111 K3 + K2 + K1 1884 0111 0101 1100

8 001 001 aK 24 0000 0001 1000

9 001 011 K2 + aK 168 0000 1010 1000

10 001 101 K3 + aK 1752 0110 1101 1000

11 001 111 K3 + K2 + aK 1896 0111 0110 1000

12 010 010 aK2 288 0001 0010 0000

13 010 011 aK2 + K 300 0001 0010 1100

14 010 110 K3 +aK2 2016 0111 1110 0000

15 010 111 K3 + aK2 + K 2028 0111 1110 1100

16 011 011 aK2 + aK 312 0001 0011 1000

17 011 111 K3 + aK2 + aK 2040 0111 1111 1000

18 100 100 aK3 3456 1101 1000 0000

19 100 101 aK3 + K 3468 1101 1000 1100

20 100 110 aK3 + K2 3600 1110 0001 0000

21 100 111 aK3 + K2 + K 3612 1110 0001 1100

22 101 101 aK3 + aK 3480 1101 1001 1000

23 101 111 aK3 + K2 + aK 3624 1110 0010 1000

24 110 110 aK3 + aK2 3744 1110 1010 0000

25 110 111 aK3 + aK2 + K 3756 1110 1010 1100

26 111 111 aK3 + aK2 + aK 3768 1110 1011 1000

После ввода всех необходимых данных необходимо нажать на кнопку «ОК». Если параметры были заданы некорректно, то выводится соответствующее сообщение. После выполнения расчет на экране появится результат преобразования.

На рис.3,4 приведены результаты преобразования для основания системы счисления 12, двух блоков и шести разрядов. В качестве весов шагов были заданы значения 1 и 4.

Рис. 2. Двухшаговый ПК с параллельным использованием шагов

Результат

Разряды; 1.. .3.

ход код состоя ния код зквивалемта

шифратора рет пстра десятичным двоичным

2 1 ООО ООО 0 ООО 0000 0000 0000 0000 0000 0000

2 2 ООО 001 1 ООО 0000 0000 0000 0000 0000 0001

2 Ф ООО 010 12 ООО 0000 0000 0000 0000 0000 1100

2 4 ООО 011 13 ООО 0000 0000 0000 0000 0000 1101

2 Б ООО 100 144 ООО 0000 0000 0000 0000 1001 0000

2 :б'- ООО 101 145 ООО 0000 0000 0000 0000 1001 0001

2 ■7" ООО 110 156 ООО 0000 0000 0000 0000 1001 1100

2 8 ООО 111 157 ООО 0000 0000 0000 0000 1001 1101

2 Э 001 001 4 ООО 0000 0000 0000 0000 0000 0100

2 10 001 011 Б г ООО 0000 0000 0000 0000 ООН 0100

2 11 001 101 580 ООО 0000 0000 0000 0010 0100 0100

2 12 001 111 1 ООО 0000 0000 0000 0010 0111 0100

2 13 010 010 48 ООО 0000 0000 0000 0000 0011 0000

г 14 010 011 5 2- ООО 0000 0000 0000 0000 0011 0100

г 15 010 110 624 ООО 0000 0000 0000 0010 0111 0000

2 16' 010 111 ■его ООО 0000 0000 0000 0010 0111 0100

2 17" 011 011 52 ■ : ООО 0000 0000 0000 0000 0011 0100

2 10 011 111 62£ ООО 0000 0000 0000 0010 0111 0100

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

2 19 100 100 576 ООО 0000 0000 0000 0010 0100 0000

г 20 100 101 580 ООО 0000 0000 0000 0010 0100 0100

2 21 100 110 62 4 ООО 0000 0000 0000 0010 0111 0000

2 100 111 620 ООО 0000 0000 0000 0010 0111 0100

2 гз: 101 101 580 ООО 0000 0000 0000 0010 0100 0100

2 24 101 111 :1326 ООО 0000 0000 0000 0010 0111 0100

2 25 110 110 62 4 ООО 0000 0000 0000 0010 0111 0000

2 '26 110 111 628 ООО 0000 0000 0000 0010 0111 0100

2 гт 111 111 Й2&' ООО 0000 0000 0000 0010 0111 0100

у! = й» | (ЧЦЭН С-343 ЦЕ^й®

у2 =

уз =

у4 = йаяй

у5 - [-.231

уб = (Г7.ъ-)

У7 = 0250

У8- =

У9 -

С-723 |Ь2Е] I 026) | Ог?) I [-283 [ С-2ЭЭ ] 02103 [ £42123 I I С-21Е] | I 0518) | [,2203 | 02Ш | [,225] [ 022-

024) | С-Я5ЭI Огб) | с-ггэ | I | с-::1г] | 0213) | онЙ ! 0215) IС---163 I0215) I I йг-З^Й1

С-71031 О'Фз1 (-712) I ОгЩ.З I ОЗШ I О^Б) I о^б)1 о?-Д9) | о^Р) I I I I

®|алЗК»г133 I С-л-О I О^МаШи.^! 1 ^ыЖЩеЖЯ I О2205 ] £-221] | О222) | I ОЙВД О2255

О24МО25 31С-263

ую и ОЙШ | 0210:11 С-121331 C-.Z143JC-.Z16J 1.021751;.02163 | фмзд | О220) | 0221) НЫМС-ггдЗг| I

Рис. 3. Форма приложения в режиме преобразования для К=12

Результат

Z 2Б : !.±G 110 1Û782 72 | GOÛ GCÛl OÛGG G1CÛ OOÛG 0009

2 Ï6 | 110 111 | 1085184 IGCIO 0001 0000 1000 1111 0000 0000

2 Ï4 I 111 111 I 1085184 ¡000 0001 0000 1000 1111 0000 0000

yl = 0

yz = 0

уз = 0

y4 = О

ys = О

ys = О

y7- = OzcO |

У8 = (>Z03| y9 = |

ую - мйоЗ ylï = f-izo] yl2 = r>z3 3 У13 = OziO yl4 = OZÏS3 ylS = £¿213

vis - Ьгз1

У17 = (>Z33

yl8 = ¡>73 3

yig = C-,Z5 3

y2 0 = г"-.793

y-21 = [-.îlO

yti - 0

угз = о

y2 4 = 0

y-2 Б = О

у2в = 0

у2 7 = О

таблица ^п^рагурны- затрат:

уоо ! у01 | у02 | уоз I у04 | :уОБ | уоё: | О7 | у08 | УОЭ | ylO | | у12' ] yi3 | yi4 | .у1Б | ylS | ^17

2X4 И-НЕ 1/4 | 1/4 | 1/4 | 1/4 | 1/4 | 1/4 | | | | | | | | | | | |

4X2 .И -НЕ____[ __ 1___! ! _ _! __ ! ! ! __ _! !

bi-'И-НЕ | | | | | | 1/1 | 1/1 | | | | | I 1/1 I I I I !.

Рис. 4. Выведение результирующей функции и таблицы аппаратурных затрат

В качестве инструмента для разработки программного пакета «TRANS» была использована среда программирования Microsoft Visual Studio 2005. Данная среда программирования частично использует принципы RAD. RAD означает быструю разработку приложений. Эта концепция позволяет создавать программные продукты, причем особое внимание уделяется быстроте и удобству программирования, созданию технологичного процесса, позволяющего программисту максимально быстро писать компьютерные программы.

Для задания первичных значений работы программы предусмотрены текстовые поля «Основание системы счисления», «Число разрядов», «Число блоков», «Число шагов» и «Веса шагов».

Для переключения типа преобразователей с последовательного на параллельный и наоборот используется специальная кнопка. Такое решение позволит максимально облегчить и упростить интерфейс программы, сделать его максимально понятным. Определение типа преобразователя реализовано с помощью переменной bool bParallel. Это булева переменная, которая может принимать только два значения: true или false. По умолчанию переменной присвоено true, т. е. будет применяться параллельный тип преобразователя.

В процессе своей работы функция использует следующие структуры данных:

1) Переменная iBaseNumber - определяет основание системы счисления, из которой будем преобразовывать. Значение переменной может варьироваться от 3 до 15, т.е. основание системы может изменяться от 3 до 15. По умолчанию переменной присвоено значение, равное 10, т.е. по умолчанию установлена десятичная система счисления.

2) Переменная iAmountOfBlocks - определяет количество блоков. Значение переменной может варьироваться от 1 до 24, т. е. количество блоков может изменяться от 1 до 24. По умолчанию переменной присвоено значение, равное 1, т. е. по умолчанию установлен один блок.

3) Переменная iAmountOfSteps - определяет количество шагов. Значение переменной может варьироваться от 1 до 8, т.е. количество шагов может изменяться от 1 до 8. По умолчанию переменной присвоено значение, равное 2, т. е. по умолчанию установлено два шага.

4) Переменная iAmountOfDigits - определяет количество разрядов. Значение перемен-

ной может варьироваться от 1 до 24, т. е. количество разрядов может изменяться от 1 до

24. По умолчанию переменной присвоено значение, равное 2, т.е. по умолчанию установле-

но два разряда.

Oz23fOz4) >z23IOz43 Oz.2-3'1 0753

OZ23 OZ23

|>ZF3

Î-Z23

OZ4 № y.z7 OZ4

IOZ63 I OzôJ

! .>zs3j oz73i ш i>z93i c-zio31 шж ^7-14"> ftweô i fc*«a i ozi83ij [>z2031 oz2i31 e-t.22 31 oz2

:î>zi33|Oz

(-72J

I>z4 3

C-.Z4;:

(.--¿'■s .

ozi73:i (■

3IC-Z13 3IO

C-Ï3 Ozs

i>z9 !>z9

C-ze3 C-.Z53

. 025 3 _ 173 I .C-Z193 | 3IC-Z43IC-

03 I C-Z143 I С

3IC-Z93IOz 3 ! I>7173 I ^ 31 Ozi731 о 183 l"C-z2i; ÏÎ43IÎS3ÏE3

73 C-Z831.C-ZS3 I OZ103 IÎ-Z12 31 C-Z1431 OZ1S31 Ozis31 i>zi8.} | [-,2203 I Oz.213 I О22231 О 83 ytm 1 C-Z1131 C-Z123 tc-iz.1331 Ozi4)| C-Z153 I r-ia-etc-<îMÈ| I с-ггозч C-Z2231 C-Z2331 С 93 fcz.] 03 I 0212 3 I (>7143 I OZ153 I 3 I C-Z183 I (>Z2 03 I C-Z213 I OZ2.2 3 I C^Z243 I bi253

7> C-.783 K-Z123 I ^Z133lt-.Z153.]:^F173;I:'C-Z193 I OZ233 C-Z233

Й I C-293 ! OZ11) I C-7123 I Ozl?3 I C-Z1E3 I [-2183 I [-2193 I [-2213 I (-223)

-Z163 I C-.Z203 | [-Z223 I C-Z243 I (-2253

113;| (-ZI23I (-7153 | (-Z173 K-Z1831 (-Z213

Z183 | (-721}

zi83|î!-z£13

I (-Z193 I C-Z203 I C-Î2'23] fa® I C-Z24) | C-^

5) Массив SW хранит в себе веса шагов. По умолчанию записано значение {1,4}, т.е. по умолчанию веса шагов установлены в значения 1 и 4. Размерность массива равна 80 значений.

6) Массив equivs хранит в себе коды эквивалентов. Размерность массива равна 80 значений.

Выводы

Основные результаты. Рассмотрены две стратегии преобразования чисел по методу накопления эквивалентов. Показано, что применение параллельной стратегии позволяет по сравнению с последовательной сократить число тактов преобразования на 20-25%. Разработан программный пакет TRANS, позволяющий выполнять построение таблицы, законов функционирования ФЭ для ПК с параллельной стратегией и расчет аппаратурных затрат ИМС на построение каждого блока ФЭ.

Сравнение с лучшими аналогами. Предложенный программный пакет TRANS позволяет выполнять построение таблиц и анализ затрат для ПК с параллельной стратегией в то время, как программный пакет FE_LION может выполнять аналогичные функции только для ПК с последовательной стратегией.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Практическая значимость. Программное средство TRANS позволяет проводить детальный анализ этапа системного проектирования ПК параллельного типа, сократить аппаратурные затраты на реализацию всего ПК за счет нахождения оптимального разбиения на блоки, сократить число тактов преобразования за счет параллельной стратегии. Список литературы: 1. А.С. 1647908 5НОЗМ 7/12. Преобразователь двоично-К-ичного кода в двоичный код /Н.Я.Какурин, Ю.К. Кирьяков, А.Н. Макаренко //Открытия, изобретения. 1984. №17. С. 262-263. 2. Какурин Н.Я., В. В. Вареца, С.Н. Коваленко. Параллельная стратегия использования шагов в двухшаго-вых преобразователях кода //АСУ и приборы автоматики. 2007. Вып. 141. С.29-36. 3. Какурин Н.Я., Лопухин Ю.В., Макаренко А.Н. Об одном способе повышения быстродействия преобразователей кодов //АСУ и приборы автоматики. 2003. Вып.122. С.72-83.

Поступила в редколлегию 07.05.2010 Какурин Николай Яковлевич, канд. техн. наук, профессор кафедры АПВТ ХНУРЭ. Научные интересы: прикладная теория цифровых автоматов, автоматизация проектирования цифровых устройств. Адрес: Украина, 61166, Харьков, пр.Ленина, 14, тел. 70-21-326. Лопухин Юрий Владимирович, ст. преподаватель кафедры АПВТ ХНУРЭ. Научные интересы: проектирование программного обеспечения, автоматизация проектирования цифровых устройств. Адрес: Украина, 61166, Харьков, пр.Ленина, 14, тел. 70-21-326. Бочаров Евгений Витальевич, студент группы КИ-07-6 ХНУРЭ. Научные интересы: автоматизация проектирования цифровых устройств, проектирование программного обеспечения. Адрес: Украина, 61166, Харьков, пр.Ленина, 14, тел. 70-21-326.

Вареца Виталий Викторович, аспирант кафедры АПВТ ХНУРЭ. Научные интересы: проектирование программного обеспечения, автоматизация проектирования цифровых устройств. Адрес: Украина, 61166, Харьков, пр.Ленина, 14, тел. 70-21-326. Макаренко Анна Николаевна, канд. техн. наук, доцент кафедры высшей математики и информационных технологий Харьковского банковского института. Научные интересы: дискретная математика, анализ и синтез преобразователей код-код. Адрес: Украина, 61074, Харьков, пр. Победы, 55, тел. 336-05-64.

i Надоели баннеры? Вы всегда можете отключить рекламу.