Научная статья на тему 'Развитие индустрии полупроводниковых виртуальных компонентов'

Развитие индустрии полупроводниковых виртуальных компонентов Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
114
22
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Палташев Тимур, Игликов Артем, Алексеев Михаил

В последнее время, несмотря на огромные вложения в автоматизацию электронной инженерии, реальная продуктивность разработки сверхбольших интегральных микросхем (СБИС) и «систем на кристалле» (СнК) стала отставать от прогнозов известного закона Мура. Компании стали искать выход, который бы позволил уменьшить разрыв между тем, что инженеры могут спроектировать за предсказуемое время с использованием электронных САПР, и усложнением проектов из-за постоянно возрастающей степени интеграции, обусловленной прогрессом полупроводникового производства.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Палташев Тимур, Игликов Артем, Алексеев Михаил

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Развитие индустрии полупроводниковых виртуальных компонентов»

Развитие индустрии

полупроводниковых виртуальных компонентов

Тимур ПАлТАшЕв, д. т. н., профессор

timour.paltashev@gmail.com

Артем игликов

artem.iglikov@gmail.com

Михаил Алексеев

alekseev@cadence.com

в последнее время, несмотря на огромные вложения в автоматизацию электронной инженерии, реальная продуктивность разработки сверхбольших интегральных микросхем (ОБИО) и «систем на кристалле» (Онк) стала отставать от прогнозов известного закона Мура. Компании стали искать выход, который бы позволил уменьшить разрыв между тем, что инженеры могут спроектировать за предсказуемое время с использованием электронных САПР, и усложнением проектов из-за постоянно возрастающей степени интеграции, обусловленной прогрессом полупроводникового производства.

введение

Технологические процессы в полупроводниковой индустрии совершенствуются такими темпами, что даже крупные полупроводниковые компании уже не в состоянии успевать разработать и верифицировать за требуемые сжатые сроки СБИС сложностью в десятки миллионов вентилей. Поэтому они вынуждены заказывать разработку или приобретать многие электронные компоненты у внешних поставщиков, и поэтому становятся в значительной степени системными интеграторами СБИС из модулей, разработанных другими компаниями.

Эти электронные компоненты на этапе поставки являются виртуальными, а не физическими, как это обычно делалось при создании многокомпонентных электронных блоков на печатных платах в предшествующие годы. Виртуальный электронный компонент получил название «ядра полупроводникового интеллектуального продукта»

(Semiconductor Intellectual Property (SIP) Core). В дальнейшем этот термин подвергся контекстному сокращению, и слово "semiconductor" было опущено в большинстве статей про полупроводниковые компоненты: SIP-ядро превратилось в IP-ядро. Когда же мы говорим про бизнес и производство, то упоминание SIP-продукта желательно, так как позволяет позиционировать именно этот сегмент электронной индустрии.

Далее для обозначения виртуального электронного компонента в тексте будет использоваться термин «IP-ядро», который соответствует общепринятому сокращенному названию виртуального компонента в электронной индустрии. В то же время в контексте индустрии и продуктов будет использоваться полная аббревиатура — SIP.

Поставка IP-ядер осуществляется либо в виде кода на языках описания аппаратных средств Verilog и VHDL («мягкое» IP-ядро), либо в виде синтезированной принципиальной схемы, также называемой Firmware, или

в виде готовой топологии в формате GDSII («жесткое» 1Р-ядро) под конкретного производителя и базовую библиотеку. Причем эта поставка обычно оформляется в виде лицензии и на использование 1Р-ядер в более сложной продукции, выпускаемой в виде физического кристалла СБИС. Соответственно, эта сложная СБИС, интегрированная из многих 1Р-ядер, стала называться «системой на кристалле» (СнК), что является калькой с System-on-Chip ^оС).

Индустрия полупроводниковых 1Р-ядер и рынок их продаж начинаются со спроса и предложения, которые формируются под воздействием очень многих факторов, одни из которых — вышеупомянутый прогресс в полупроводниковой индустрии, унификация языков описания и форматов представления 1Р-ядер, а также всеобщая доступность средств автоматизации электронной инженерии.

Множество малых фирм начали разработку повторно используемых 1Р-ядер различного функционального назначения, целых библиотек высокоуровневых и низкоуровневых компонентов, номенклатура и сложность которых постоянно увеличиваются. На рис. 1 приведена обобщенная структура СнК прикладного процессора, который может быть использован в различных встроенных системах и мобильных устройствах. СнК содержит несколько 1Р-ядер от различных поставщиков, которые реализуют полный функционал прикладного процессора и сами по себе являются сложными специализированными процессорами (видео, графическими, сигнальными, обработки изображений и т. д.). Фактически современная СнК — это гетерогенная мультипроцессорная система, сложность аппаратных блоков которой не позволяет провести в приемлемое время

L2$

Главный ЦПУ

USB, СОМ

Буфер кадра

Видеочип

2D/3D-чип

Управление дисплеем

Связывающая шина

Системный Аудио- Обработка Управление

ввод/вывод процессор изображений памятью

16/32

16

- LCD -TV

| SDRAM| I Flash I

Аудио

Камера SD/MMC/CE-ATA

Рис. 1. Обобщенная структура прикладного процессора, реализованного в виде СнК

ARM 11 CPU + FPU

Разный ввод/вывод

DRAM/Flash

256 Кбайт L2 + TCM

Управление памятью

Управление USB

Расширение

OpenVG CPU

АМВААНВ crossba г (МАХ)

Периферийная иина

32-канальный чип DMA

Процессор изображений

CAN, 1-Wire, HS HS PCMCIA/ Ethernet, OTG host ATA

аудио, специальный

LCD Камера

Рис. 2. Процессор мобильных приложений Freescalei .MX35 с различными классами SIP-продуктов

полную разработку всех блоков силами инженеров одной фирмы.

Наиболее ярким примером использования IP-ядер как отдельного лицензируемого продукта является ядро микропроцессора ARM, разработанное компанией ARM Holdings PLC. Первоначально разрабатывалась архитектура RISC-микропроцессора для персональных компьютеров, однако с развитием встраиваемых систем, цифровых камер и систем сотовой связи компания начала предлагать встраиваемые процессорные ядра, которые могли быть использованы при создании специализированных СБИС (ASIC) и СнК. Различные версии ядер ARM доступны как в «мягкой» (soft) и «схемной» (firm), так и в «твердой» (hard) версиях. Так как многие системные и фаблесс-компании, проектирующие специализированные СБИС и СнК, используют библиотеки стандартных элементов, памяти и модули ввода/вывода, то появились поставщики таких библиотек, например Artisan Components и многие другие. Кроме того, каждая кремниевая фабрика предлагает свои собственные базовые библиотеки, в дополнение к которым имеется множество стандартных часто используемых функциональных модулей в «жесткой» версии.

Организация SIP-индустрии

Бизнес SIP-индустрии включает в себя процессы, аналогичные тем, которые есть в традиционных вертикально-интегрированных электронных фирмах, фаблесс-компаниях и полупроводниковом производстве (кремниевых фабриках). Однако в отличие от устоявшихся бизнес-моделей в индустрии специализированных СБИС ASIC и средств САПР СБИС бизнес-модель SIP-индустрии значительно сложнее вследствие того, что в цепочке создания и производства СБИС или СнК могут участвовать одновременно несколько поставщиков виртуальных компонентов и несколько производителей СБИС и СнК. В результате возникает необходимость координации с несколькими поставщиками из цепи поставки компонентов, каждый из которых имеет свою бизнес-модель и технические возможности.

Хотя в последние годы было достигнуто некоторое единообразие в бизнесе SIP, индустрия все еще в значительной степени не стандартизована из-за большого набора типов продуктов, потребностей клиентов и частых изменений в инструментах электронных САПР и технологии процессов полупроводникового производства.

Кроме того, что продукты SIP-индустрии предоставляются в трех формах: «мягкой», «схемной» и «твердой», существует несколько классов или типов продуктов SIP-индустрии [1].

Первый класс SIP-продукта — это базовые IP-библиотеки нижнего уровня, состоящие из стандартных логических элементов низкого уровня, триггеров, защелок, элемен-

тов ввода/вывода данных с чипа и буферов памяти. Эти элементы выполнены топологически как ячейки фиксированной высоты и переменной ширины, что является ключевым свойством для поддержки автоматической трассировки межсоединений между линейками таких элементов на кристалле. Топология этих ячеек полностью заказная (full custom layout), чтобы уменьшить задержки сигнала и площадь на кристалле. Базовые библиотеки предоставляются как поставщиками индустрии, так и большинством кремниевых фабрик. Причем последние предоставляют так называемые «технологические библиотеки», в которых один и тот же логический элемент низкого уровня может иметь несколько вариантов исполнения (внутренняя логика, ввод/вывод, логика управления тактированием и т. д.), их отличия — в нагрузочной способности и потребляемой мощности.

Второй класс — это различные IP-модули памяти SRAM, неразрушаемая память типа Flash, EEPROM, динамические модули памяти DRAM и 1T-SRAM.

Третий класс — интерфейсные IP-модули, их также называют стандартными SIP-моду-лями. Они реализуют спецификации общепринятых стандартов для коммуникаций как USB-1, 2, 3, PCI, IEEE1394 (Firewire), IrDA, Bluetooth или 802.11 и др. Часто туда добавляются внутренние стандартные интерфейсы AMBA и OCP.

Четвертый класс — процессорные IP-ядра, которые включают обычные микропроцессоры, процессоры обработки сигналов, графические и видеопроцессоры, а также специализированные ядра.

Пятый класс — аналоговые блоки, которые обычно предоставляются только в «твердой» форме, ориентированной на конкретный технологический процесс на выбранной кремниевой фабрике.

Шестой класс — вычислительные платформы, которые могут состоять из модульных вычислителей, реконфигурируемых FPGA-блоков и специализированных процессорных блоков.

Класс (тип) и форма SIP-продукта могут влиять на цену лицензии, частоту обновлений, необходимую поддержку и срок интеграции в конечный продукт. Ценность и значимость SIP-продукта также различна, в зависимости от режима его использования клиентом и массовости тиража микросхем с этим продуктом. На рис. 2 представлена структура процессора мобильных приложений Freescale i.MX35 с различными классами SIP-продуктов, которые поставлены как минимум шестью компаниями — разработчиками SIP.

Особенности взаимодействия поставщика и покупателя SIP-ядер

Особенность использования виртуальных компонентов в том, что покупатель лицензии становится уже не просто клиентом, а скорее подрядчиком поставщика компонентов. Для принятия решения об использовании определенных компонентов покупателю помимо функциональных особенностей требуется знать гораздо больше внутренней информации о них. Проблемой производителя-поставщика становится предоставление HDL-модели, достаточной для симуляции, синтеза и анализа проектируемой СнК и, вместе с тем, защищенной от нелегального копирования.

Это также добавляет трудностей при обнаружении проблем в приобретенном SIP-продукте. Клиент вынужден изолировать проблему с точностью до виртуального компонента, не зная его внутреннего устройства, затем убедить поставщика, что проблема именно в компоненте, а не в неправильном

его использовании, а также разработать временное решение, «заплатку», пока поставщик не выпустит обновление, исправляющее ошибку в IP-ядре.

Все это требует соответствующих договоренностей и тесного взаимодействия между покупателем и поставщиком. Такое взаимодействие можно характеризовать не как «куплю-продажу» компонентов, а скорее как «совместное предприятие» по разработке и производству СнК, так как успех является общим.

Особенности разработки и поставки виртуальных компонентов

Так как виртуальные компоненты являются повторно используемым продуктом, причем предполагается их использование не исходными разработчиками, а обладателями лицензии, предъявляются некоторые дополнительные требования по разработке комплекта документации и тестов, которые позволяют уменьшить количество проблем при интеграции в конечное устройство. Причем это приводит к существенному увеличению объема работ по сравнению с обычным проектируемым блоком СБИС. Если оценивать объем работы для проектирования обычного блока в Х, то для возможности повторного использования блока внутри компании потребуется 3Х, а для внешнего использования этого блока в виде SIP-продукта нужен объем работы в 9Х.

Отметим некоторые моменты, которых следует избегать при разработке виртуальных компонентов (и не только их) [2]:

• Асинхронные, небрежные, усложненные или неоднозначные схемы тактирования.

• Обратные связи с нулевой задержкой.

• Неполные таблицы истинности или списки чувствительности сигналов.

• Цепи с более чем одним драйвером сигнала.

• Нелокальные временные ограничения и многотактные тракты обработки.

• Непереносимые или противоречивые описания конечных автоматов.

• Использование библиотечных компонентов только из библиотек определенных поставщиков.

• Ограничения по синтезу, зависящие от технологии определенной кремниевой фабрики.

• Недостаточно полная структура и покрытие тестов.

• Плохая документация, усложняющая пользование виртуальными компонентами.

Типовая структура пакета поставки виртуального компонента или IP-ядра

Типовая структура пакета поставки виртуального компонента или SIP-ядра включает в себя:

1. Модель принципиальной схемы на языке HDL, пригодной для синтеза (либо кремниевой компиляции) или в схемном формате NetList.

2. Поведенческая модель и платформа для изучения пользования компонентом (это может быть также карбон-модель — тактируемая поведенческая модель).

3. Описание архитектуры с маркированием доменов тактирования при использовании разных тактовых сигналов.

4. Обязательно должна быть использована безопасная схемотехника, толерантная к изменениям задержек.

5. Детали параметризации, операционных режимов, численная точность, протоколы ввода/вывода, форматы данных, методы упаковки байтов в слова, временные диаграммы и т. д.

6. Предварительные требования к технологии полупроводникового производства и базовым библиотекам элементов и макроблоков.

7. Скрипты управления синтезом (кремниевой компиляцией) и список ограничений при синтезе.

8. Список возникающих фальшивых или мнимых трактов данных при анализе временных параметров после синтеза.

9. Стратегия тестирования, сами тесты или встроенные схемы самотестирования BIST (Built-in Self Test) и скрипты для их включения в структуру блока.

10. Тест-бенчи и тест-векторы вместе с кодами и областями детектирования ошибок.

11. Программы драйверов этих виртуальных компонентов и другие модули программного обеспечения, необходимые для использования компонентов.

12. Контрактные обязательства, предусматривающие поддержку и ответственность за работоспособность компонентов.

Модели бизнеса SIP

«Мягкие» SIP-продукты обычно поставляются в виде кода на высокоуровневых языках (C++, RTL Verilog и VHDL) либо в формате принципиальной схемы на уровне вентилей. Обычно такие SIP-продукты легко портируются между различными процессами полупроводникового производства, но не оптимизированы под определенную технологию, вследствие чего потребляемая мощность, производительность и площадь чипа неизвестны до выбора технологии процесса и используемой для синтеза топологии библиотеки. К достоинствам этой формы SIP-продукта можно отнести функциональность, возможность повторного использования, доступность и соответствие стандартным спецификациям, таким как SUB, ARM AMBA, PCI, IEEE 802.11. Некоторые поставщики также могут предоставлять принципиальную схему (Netlist), с помощью которой можно воспроизвести SIP-продукт либо на FPGA для проверки работоспособности, либо сразу в конечном изделии в виде специализированной СБИС.

«Твердые» SIP-продукты, как правило, поставляются в графическом формате GDSII вместе с перечнем соответствующих инструментов электронных САПР и оптимизированы под специфичный процесс производства на конкретной кремниевой фабрике. Также может использоваться формат bit-stream, если исходный «мягкий» SIP-продукт был реализован для FPGA. Часто «твердый» SIP-продукт, так же как и произведенная микросхема, имеет спецификацию, включающую мощность, скорость и площадь, занимаемую на кристалле. Примеры «твердых» SIP-продуктов: процессоры, стандартные блоки, память, ячейки PLL, аналоговые блоки и блоки ввода/вывода. «Твердые» SIP-продукты обычно не портируются на другой процесс производства; даже если такие библиотеки и существуют, то они не оптимизированы для специфичного процесса на конкретной кремниевой фабрике.

Покупатели или системные интеграторы СнК, работающие с несколькими источниками SIP-продуктов, сталкиваются с множеством моделей бизнеса и, соответственно, с различными схемами оплаты. Часто бывает трудно даже сравнить похожие или идентичные SIP-продукты. В отличие от устоявшихся моделей рынков специализированных СБИС-ASIC и электронных САПР инфраструктура SIP-бизнеса может показаться запутанной. Приходится прилагать усилия для принятия решения о заказе продукта у третьей стороны, потому что в реальности сложно определить экономическую ценность разных продуктов SIP. Идентичные продукты, приобретенные на разных условиях, могут иметь различную ценность с точки зрения нужд покупателя.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Системный интегратор должен в первую очередь определить требуемую форму приобретения SIP-продукта. Если SIP-продукт приобретается в «мягкой» форме, то необходимо оценить затраты для доводки этого продукта до «твердой» формы и проверки на кремнии. Если SIP-продукт приобретается в «твердой» форме, то нужно оценить его потенциальную мобильность и факторы затрат и рисков при форс-мажорной смене кремниевой фабрики, на которой будут выпускать конечные изделия.

Далее необходимо провести многофакторный анализ по каждому классу (типу) SIP-продукта с точки зрения соответствия существующим и адаптации к будущим стандартам (для интерфейсных модулей, к примеру). Для классов сложнофункциональной продукции, как процессорные ядра различного назначения, необходимо оценивать архитектуру, производительность и средства разработки программ. Кроме того, нужно оценивать риски и расходы на необходимую модификацию в жизненном цикле изделия. При использовании аналоговых SIP-продуктов следует оценивать их реальную производительность на кремнии и потенциальные про-

блемы при варьировании процессов производства на фабрике.

Последним ключевым фактором является мобильность SIP-продукта и его портабель-ность, причем этот фактор нужно оценивать совместно с кремниевой фабрикой до начала проектных работ по интеграции в изделие. Обеспечиваемые непосредственно самой фабрикой SIP-продукты обычно технологически не мобильны и не портабельны, а также может оказаться, что лицензия самой фабрики не позволяет это сделать. Это порождает потенциальный риск задержки производства и поставки на рынок из-за перегрузки или аварийных остановок кремниевой фабрики. Поэтому должны быть предусмотрены альтернативные варианты выбора SIP-продукта на случай форс-мажора на законтрактованной кремниевой фабрике.

Модели использования SIP-продукта

Перечислим наиболее общие бизнес-модели, которые включают в себя:

• Модель Pay-Per-Use (по штучному использованию) — одноразовая плата за использование SIP-продукта для каждого устройства.

• Повременная модель — неограниченное количество использований SIP-продукта в определенный и ограниченный период времени.

• Модель производственных отчислений (royalty) — часть или полная плата за использование SIP-продукта распределена по производимым устройствам, отчисляется процент от стоимости каждого устройства.

• Модель «по подписке» — доступ к порт-фолио SIP-продуктов, предусматривающий плату за подписку и сниженную плату за использование.

Другим важным критерием оценки живучести SIP-продукта является поддержка его жизненного цикла, включающая:

• обслуживание — обновления, исправления, оплата лицензии в процентах от стоимости продукта;

• поддержку — решение проблем клиента, помощь по техническим вопросам; оплата зависит от вида поддержки (web, E-mail, телефон, ...);

• NRE (non-recurring engineering cost) — модификация, портирование SIP-продукта для новых технологий;

• возможность дополнительных договорных работ.

Модель Pay-Per-Use (PPU)

При этой модели взаимодействия покупатель приобретает право на использование SIP-продукта определенным образом. Эта модель удобна, когда требования и возможные варианты использования продукта определены на ранней стадии проектирования.

Оплата может взиматься как за конкретный экземпляр SIP-продукта, так и за определенную конфигурацию, содержащую несколько экземпляров и считающуюся одним «использованием». Конкретные условия должны быть обговорены в соглашении между покупателем и производителем.

Плата в модели PPU обычно включает начальный взнос для первого использования, а также сниженную плату за повторное использование в новом изделии. Причем одни производители могут считать это как доработку в рамках NRE, а другие — как полностью новый продукт.

Повременная модель

В данном случае покупатель приобретает право на многоразовое использование продукта в течение определенного периода времени. Этот период может быть как строго заданным, так и зависеть от каких-то условий, например устаревания технологий.

Расходы в повременной модели включают первичную оплату, покрывающую многоразовое использование в указанный период времени, а также стоимость продления, если требуется. Некоторые продукты могут предлагать одновременно по PPU и повременной модели. В таком случае стоимость повременной модели обычно в несколько раз выше одноразовой платы PPU.

Модель производственных отчислений

Сейчас растет популярность модели бизнеса, построенной на производственных отчислениях. Производитель и покупатель заключают договор об отчислении части прибыли, полученной за конечное устройство, в течение всего времени продаж устройства. Таким образом, они разделяют риск и успех конкретного SIP-продукта. Такая модель подходит покупателям, которые хотят минимизировать начальные затраты, и провайдерам SIP, которые согласны уменьшить разовое вознаграждение взамен большей прибыли в будущем. Отчисления «привязываются» к какой-то количественной мере, например к числу проданных экземпляров конечного устройства.

Платежи в данном случае обычно включают разовое первичное вознаграждение и последующие отчисления в зависимости от объемов производства или продаж. В некоторых случаях первичное вознаграждение может быть снижено или рассматриваться как аванс за будущие отчисления.

Кроме того, в некоторых случаях могут быть дополнительные отчисления третьим сторонам, например за использование патентного пула. Покупателям необходимо определить, есть ли такие дополнительные отчисления, до того, как заключать соглашение с поставщиком SIP-продуктов.

К особенностям этой модели можно отнести:

• отсутствие огромных расходов в начале

процесса проектирования;

• разделение рыночного риска между поставщиком и покупателем SIP-продукта;

• ограничение свободы обладателя лицензии в установке цен на продукт;

• возможности для «нечестной игры»;

• сложность применения из-за необходимости контроля действий зарубежных контрактных производителей (кремниевых фабрик и сборочных производств).

Модель «по подписке»

При оформлении подписки покупатель получает доступ к портфолио SIP-продуктов на определенный период. Эта модель может быть удобна, когда у покупателя еще нет готового проекта конечного устройства и он не знает всех требований к SIP-продуктам. Дополнительное преимущество также в том, что покупатель получает доступ к широкому набору SIP-продуктов по сниженной цене.

Структура оплаты, как правило, включает определенную предоплату за доступ. Это позволяет покупателю производить проектирование с использованием любого SIP-продукта, а также иногда включает право производства. Также может взиматься дополнительная ежегодная плата, дисконтируемая в зависимости от варианта использования. Иногда взимается дополнительная плата за конкретные произведенные устройства с SIP-продуктом.

Поддержка жизненного цикла SIP-продукта

Обслуживание

Обслуживание «мягкого» SIP-продукта по концепции похоже на обслуживание продукта в системах электронных САПР. Предоставляются патчи при незначительных обновлениях и исправления при изменениях в функциональности или спецификациях.

Обслуживание «твердого» SIP-продукта значительно сложнее, так как включает стоимость производства и тестирования. Так как качество и производительность продукта зависят от множества внешних факторов, обслуживание является большой и серьезной частью бизнеса поставщиков SIP.

Стоимость обслуживания основана на стоимости SIP-продукта и обычно составляет 12-18%. Покупателям необходимо четко понимать, какие услуги входят в стоимость обслуживания, а какие нет.

Поддержка

В зависимости от типа SIP-продукта и нужд клиента требования к поддержке могут различаться. Вследствие этого часто вместо базового пакета поддержки, предоставляемого поставщиком, конкретный набор услуг согласовывается в виде отдельного контракта. Базовый набор обычно включает поддержку по E-mail, web, иногда по телефону. Часто поставщики предлагают дополнительные пакеты поддержки.

Таблица 1. Пример возможных вариантов лицензирования SIP-продукта модулей памяти

SIP модуля памяти Per-use Временное По подписке

Состав поставки Dual-port SRAM 2Kx16 Col Mux EDA Views, BitCell SRAM Compiler, EDA Views, BitCell GDSII Custom HighSpeed SRAM EDA Views, BitCell GDSII

Первоначальный взнос Оплата по доставке Предоплата нескольких использований Процентные отчисления

Дополнительные взносы Ежегодно за компилятор Процентные отчисления по окончании разработки

Плата за повторное использование Процент от стоимости лицензии Обновление при истечении срока или переходе на другую фабрику Процент от стоимости чипа

Обслуживание Опционально — 15% в год 15% покрывает компилятор, EDA, bitcell, обновления 12% покрывает исправление ошибок и до трех обновлений

Поддержка Включает e-mail, телефон До трех чипов По договоренности

NRE Определение характеристик, модификация при необходимости Определение характеристик Заказная разработка

Контрактный сервис Заказные EDA Views Интеграция и тестирование

Таблица 2. Пример возможных вариантов лицензирования SIP-продукта базовой библиотеки логических элементов

SIP-библиотека Per-use По подписке Доступ (+ per-use)

Состав поставки Высокоскоростная библиотека стандартных элементов и пакет ввода/вывода Высокоскоростная библиотека стандартных элементов и пакет ввода/ вывода, BitCell, пакет компилятора RAM Полный пакет элементов, ввода/вывода, компилятора SRAM и BitCell

Первоначальный взнос Первый чип в L130 Бесплатно для TSMC 0.18u Ежегодная плата за доступ для использования в UMC L180, L130

Дополнительные взносы Процентные отчисления по окончании разработки (оплата конечным пользователем) Процентные отчисления по окончании проектирования (оплата фаблесс ASIC компанией)

Плата за повторное использование Процент первоначального взноса за до трех использований каждого нового чипа Отчисления за пластину (платит фабрика)

Обслуживание 12% покрывает до двух обновлений Бесплатно, покрывает до четырех обновлений Включено, покрывает обновления

Поддержка Оплачивается отдельно Пакет поддержки приложений оплачивается отдельно Заказной премиум-пакет

NRE Заказные ячейки ввода/вывода Новые заказные ячейки Модификации ввода/вывода

Контрактный сервис Определение характеристик

Таблица 3. Пример возможных вариантов лицензирования SIP-продукта цифровых процессорных ядер

Цифровой SIP Per-use Временное По подписке

Состав поставки Soft silicon proven DSP netlist, test bench, etc Firm silicon proven PCI EDA Views + Testbench Protocol processor EDA, GDSII, firmware

Первоначальный взнос Оплата за первую IC в 0.18u TSMC, UMC или CSM Предоплата за три года множественных использований в UMC L130 Плата за доставку на GDSII. Любая IC в TSMC 0.13u

Дополнительные взносы Оплата при выполнении лицензионного соглашения SIP Оплата при выполнении лицензионного соглашения SIP Квартальные взносы, после первой поставки

Плата за повторное использование До трех IC Обновление по истечении срока Взносы по каждому чипу, в зависимости от успешности

Обслуживание 15% покрывает исправление ошибок и минорные обновления 15% покрывает исправление ошибок и обновления до ревизии 2.1 15% покрывает прошивку и до двух обновлений

Поддержка Включает e-mail, телефон, обучение на месте Включает e-mail, телефон, обучение на месте, отдельный пакет R&D По договоренности

NRE Функциональные модификации Ревизии после 2.1 Портирование на новое производство

Контрактный сервис Верификация системного уровня Реализация бэкенда Полная верификация чипа

Их стоимость зависит от необходимого уровня поддержки, ресурсов поставщика, количества пользователей, одновременных проектов и т. п. Они также могут включать экспертную поддержку и обучение персонала. Покупателю необходимо убедиться, что в контракте четко определено, какие сервисы доступны, а какие нет.

NRE

Расходы на NRE возникают при необходимости адаптации, модификации или оптимизации существующего SIP-продукта к изменяющимся условиям производства или переходе на новую технологию. Как правило, производится первичная оплата после заключения соглашения и последующие взносы по достижении определенных этапов. Оплата может быть снижена, если покупателю достаточно ограниченной лицензии. Объем работ по NRE может отличаться в зависимости от формы, типа и законченности продукта. Примером небольших работ могут быть модификация, настройка или портирование простого SIP-продукта. К сложным работам можно отнести разработку аналогового SIP-продукта и интеграцию нескольких продуктов на единой платформе.

Контрактный сервис

Контрактный сервис в рассматриваемой области включает заказные инженерные работы, такие как проектирование. В SIP-индустрии нет четкого разделения между NRE и контрактным сервисом. Некоторые работы по NRE могут включать второстепенные работы, а некоторые контракты — работы по основному продукту. Контрактный сервис обычно включает базовую плату и последующую оплату на часовой основе или по завершении определенных этапов.

Примеры вариантов лицензирования SIP-продукта

В таблицах 1-3 представлены примеры возможного лицензирования SIP-продуктов классов модулей памяти, базовых библиотек логических элементов и цифровых процессорных ядер.

Стандарты описания SIP-продуктов

Без стандартов нет индустрии! Массовость современной электронной индустрии требует огромного количества стандартов. SIP-продукты также нуждаются в стандартизации ввиду нарастающей массовости использования этих продуктов электронной индустрией.

Сейчас можно видеть, что системные интеграторы — производители СБИС СнК — выбирают не собственно IP-ядра, а экосистему вокруг них, включающую как многоуровне-

вую систему программного обеспечения, так и сложившиеся маркетинговые отношения. Например, SIP-продукты от ARM доминируют в мире смартфонов из-за экосистемы, сложившейся вокруг платформы ARM, включающей большой объем программного обеспечения для нее. Кроме того, эта экосистема позволяет разрабатывать конечный продукт в виде смартфонов значительно быстрее.

В результате крупной компании, которая присоединяет к себе компанию — разработчика SIP-продукта, нужен от нее не собственно сам SIP-продукт (эти SIP-продукты почти невозможно внедрить в новых условиях), а коллектив квалифицированных сотрудников, способных их разрабатывать и сопровождать. И это главная гарантия того, что новые SIP-продукты будут разработаны и внедрены.

Развитие бизнес-модели фаблесс-компа-ний требует, чтобы SIP-продукт был настроен по месту интеграции квалифицированными разработчиками с учетом кремниевой техно-

логии, что возможно только в больших компаниях. Однако SIP-продукты можно также создавать и в маленьких фирмах, и в разных странах, как и раньше. Главным условием, чтобы это продолжалось и в будущем, является надежная стыковка или интеграция различных SIP-продуктов в СБИС СнК, что может быть достигнуто благодаря стандартизации интерфейсов для SIP-продуктов. В этом плане большое значение имеет стандарт IP-XACT [3].

История стандарта IP-XACT

Разработка стандарта началась несколько лет назад в компании NXP Semiconductors (Нидерланды). NXP пыталась автоматизировать производство «систем на кристалле» и работала с большой группой инженеров, производящей сотни чипов с небольшими модификациями, и проектировщики должны были проектировать и интегрировать каждую СнК заново. NXP сообщила своим поставщикам SIP-продуктов, что интегрирующей ком-

пании нужен способ описания SIP-продукта и возможность использования их в будущем без полного реконструирования каждый раз. Первое решение было от MentorGraphics Corp. — продукт Platform Express, впоследствии включенный в IP-XACT.

Раннюю версию стандарта разрабатывал The SPIRIT Consortium c 2003 года. Было выпущено несколько версий с расширяющимся набором возможностей, описывающих многие аспекты SIP-блоков и позволяющих инструментам САПР импортировать и интегрировать SIP-продукт в проекты СнК. Эти аспекты включают в себя описание регистров, взаимосвязь, верификацию и использование моделей самих устройств.

В 2009 году The SPIRIT Consortium объединился с Accellera (Калифорния, США). Обе организации занимаются разработкой стандартов для электронного проектирования. Accellera передала свои права в IEEE в начале разработки стандарта в надежде на содействие в завершении стандарта, который был бы широко принят индустрией. В июне 2009 года спецификация IP-XACT была передана в IEEE-SA для промышленного утверждения как стандарт IEEE 1685, что было успешно осуществлено в конце того же года.

Стандарты уменьшают затраты, необходимые для создания интеграционных инструментов, и, соответственно, время, необходимое конечному продукту СнК для выхода на рынок. Но если существует такой барьер, как, например, высокая цена доступа к стандарту, то малые компании начинают изобретать свои собственные средства. Это происходило в сфере автоматизации электронного проектирования до принятия стандарта IEEE 1685. Для устранения этого барьера Accellera предложила платить за все загрузки стандарта, не взимая платы с конечных пользователей. Это позволило небольшим компаниям занять свои ниши в SIP-индустрии и ускорило развитие «систем на кристалле».

Описание стандарта

IP-XACT — это стандарт, описывающий схему XML для документирования метаданных IP-ядер, используемых в проектировании, разработке и верификации электронных систем и интерфейса прикладного программирования API для предоставления доступа к этим метаданным. Схема предоставляет стандартный метод документирования IP-блоков, совместимый с технологиями автоматизированной интеграции СнК в рамках существующих электронных САПР. API — это стандартный метод для связывания инструментов САПР в каркас системной разработки, представляющий более гибкую, оптимизированную среду разработки СнК. Инструменты, совместимые с этим стандартом, могут интерпретировать, конфигурировать и манипулировать IP-блоками, совместимыми с предложенным

описанием IP метаданных. Стандарт не зависит от специфичных процессов проектирования и не покрывает поведенческие характеристики и внутренний функционал IP-блоков.

Таким образом, стандарт позволяет IP-блокам быть взаимозаменяемыми и гарантирует совместимость IP-блоков различных производителей. Он не заменяет языки, файлы или форматы, но позволяет пользователям использовать их таким образом, что результаты работы можно передавать различным группам, работающим над одним проектом. Что-либо, выраженное в формате IP-XACT, будет понято всеми инструментами САПР в цепочке проектирования. IP-XACT позволяет обрабатывать IP-блоки на инструментах САПР, на которых они ранее никогда не тестировались.

Стандартизированные формы IP-XACT включают: компоненты, системы, шинные интерфейсы и соединения, абстракции этих шин, детали компонентов, включая адресные карты, регистры и описания полей, и описания наборов файлов для использования в автоматизированном проектировании, верификации, документации, потоки использования для электронных систем. В стандарт включен набор XML-схем, описанный W3C, и набор правил целостности семантики (SCR). Также предоставляется портируемый интерфейс генератора СнК.

Примеры использования SlP-продуктов

Графический дисплейный контроллер Vivante (Саннивэйл, Калифорния, США)

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Графический дисплейный контроллер Vivante предоставляет возможность считывания сформированных изображений из буфера кадра, обработки данных путем преобразования формата, диферинга и гамма-коррекции, генерации сигналов и отправки обработанных данных на два дисплея. Общие технологические параметры:

• 6,25К элементов памяти;

• 55,6K вентилей логики;

• общая синтезируемая площадь 0,18 мм2;

• площадь на кремниевой пластине 0,22 мм2;

• частота от 150 до 275 МГц;

• технология полупроводникового производства с узлом в 65 нм. Особенности контроллера:

• поддержка разрешений до WQXGA (2560x1600);

• полностью программируемые временные параметры синхронизации для стандартных или специфичных разрешений дисплеев;

• генерация прерываний при вертикальной развертке для программной синхронизации;

• поддержка 16- и 24-битного формата цвета;

• поддержка курсора с 2-битовой маской и 32-битным RGB;

• управление буфером кадра;

• двойная буферизация для уменьшения мерцания;

• прокрутка изображения с помощью неограниченной адресации буфера кадра;

• стандартный интерфейс шины AHB (AMBA);

• возможность отображения одинаковых или разных изображений на двух дисплеях одновременно;

• синхронное обновление регистров — улучшение плавности анимации;

• поддержка гамма-коррекции изображения. В стандартную поставку этого виртуального компонента включаются:

• Синтезируемая схема Verilog RTL.

• Спецификации внутренней памяти.

• Набор векторов для тестирования ядра контроллера и СнК.

• Справочная схема расположения функциональных блоков (floor plan).

• Справочные образцы скриптов формальной верификации.

• Ограничения на временные характеристики.

• Драйверы Vivante GCCORE.

• Набор разработчика Vivante Corporation.

Многоядерный микропроцессор NVCom-01 НпЦ «ЭЛВИС» (Зеленоград, РФ)

В России с использованием САПР Cadence разработаны и успешно протестированы образцы СнК по технологическим нормам от 180 до 65 нм включительно. К таким микросхемам относятся разработанные в 2011 году в компании НПЦ «ЭЛВИС» микросхемы высокопроизводительных многоядерных коммуникационных микропроцессоров 1892ВМ10Я (NVCom-02T) по проектным нормам 0,13 мкм и 1892ВМ11Я (NVCom-02) по проектным нормам 65 нм со встроенной поддержкой функций ГЛОНАСС/GPS-навигации.

Микросхема спроектирована по технологии 0,13 мкм на базе IP-ядерной платформы «МУЛЬТИКОР» (размеры кристалла 8,8x9,5 мм, —60 млн транзисторов) [4]. Программируемость микросхемы позволяет использовать ее в широком диапазоне областей применений:

• абонентские терминалы мультистан-дартных систем профессиональной связи (от стандарта TETRA до систем 3G) со встроенной функцией навигации;

• портативные ГЛОНАСС/GPS-приемники, в том числе для подвижных платформ (автомобилей, железнодорожного транспорта);

• аппаратура цифрового телевидения (DVB) и радио (DRM);

• IP-камеры с «интеллектуальным зрением», IP-телефония;

• аппаратура беспилотных летательных аппаратов (БПЛА);

• специальные применения.

компоненты и технологии • № 5 '2012 www.kit-e.ru

Микропроцессор NVCom-01 позволяет замещать высокопроизводительные DSP-процессоры зарубежного производства, в том числе микросхемы ADSP TS201 (ADI), ряд микросхем серии OMAP (TI) и навигационные микросхемы SiRF Atlas-III (SiRF). Краткое описание структуры этой микросхемы:

• Архитектура трехъядерная, MIMD (Multiple Instructions Multiple Data).

• CPU-ядро: MIPS32 — архитектурно совместимое с сопроцессором арифметики в формате с плавающей точкой; устройство умножения и деления.

• Интегральный объем встроенной памяти ~4 Мбит.

• 32-разрядный порт внешней памяти MPORT со встроенным контроллером доступа к внешней памяти типа SRAM/SDRAM/ SBSRAM/FLASH/ROM.

• Встроенный DMA-контроллер.

• Последовательные порты I2C, USB, Ethernet 10/100 Мбит/с, два порта UART, четыре многофункциональных порта MFBSP (I2S/SPI/SHARC LPORT/GPIO) с DMA.

• Порты ввода и вывода видеоданных.

• JTAG IEEE 1149.1, встроенные средства отладки программ (OnCD).

• Встроенный умножитель/делитель входной частоты (PLL).

• Интервальный таймер (IT), таймер реального времени (RTT), сторожевой таймер (WDT).

• Многоканальный навигационный коррелятор (МСС):

- 48 каналов слежения;

- навигационные сигналы GPS С/A, GPS L2Q ГЛОНАСС СТ;

- устройство быстрого поиска (Fast Search Engine, FSE). Полученные образцы микросхем по технологии 130 и 65 нм полностью соответствуют расчетным параметрам по частотным характеристикам и потреблению.

Схожие по составу и функциональности СнК в 2011 году смогли выпустить еще несколько крупных российских компаний: КБ «Навис», НТЦ «Модуль», НИИСИ РАН.

Заключение

Развитие индустрии полупроводниковых виртуальных компонентов и полная перестройка мировой электронной индустрии с разделением вертикально-интегрированных компаний на кремниевое производство, системно-интегрирующие фаблесс-компании и компании-разработчики SIP-продуктов — все это создало для России беспрецедентный шанс воссоздания отечественной электроники на абсолютно новой основе. Практически неограниченный доступ к новейшим технологиям полупроводникового производства позволяет самостоятельно создать и верифицировать в кремнии сложные процессоры, состоящие из собственных и лицензированных SIP-продуктов. Причем разработкой SIP-продуктов могут заниматься малые инженерные компании, состоящие из нескольких десятков разработчиков. Пока их мало, но правильные программы господдержки и венчурного финансирования могут существенно увеличить их число, что было бы крайне важно для восстановления инженерных и производственных возможностей отечественной электроники. Ш

Литература

1. Understanding The Semiconductor Intellectual Property (SIP) Business Process: Finding, Evaluating And Licensing Commercial SIP. GSA's IP Subcommittee's Industry Baseline Working Group. http://www.gsaglobal.org/ resources/tools/docs/Handbook_Understanding_SIP_BusinessProcess.pdf

2. Kaeslin H. VLSI Economics and Project Management. Microelectronics Design Center, ETH. Zurich, 2008.

3. IEEE Standard for IP-XACT, Standard Structure for Packaging, Integrating, and Reusing IP within Tool Flows. IEEE Computer Society and the IEEEE Standards Association Corporate Advisory Group. http://standards.ieee.org/getieee/1685/ download/1685-2009.pdf

4. Телекоммуникационный микропроцессор NVCom-01. ГУП НПЦ «ЭЛВИС». http://multicore.ru/index.php?id=601

i Надоели баннеры? Вы всегда можете отключить рекламу.