Научная статья на тему 'Программные продукты проектирования электронных устройств на базе ПЛИС'

Программные продукты проектирования электронных устройств на базе ПЛИС Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
836
160
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ПРОГРАММНЫЕ ПРОДУКТЫ / ПРОЕКТИРОВАНИЕ / CАПР / ПЛИС / СВЕДЕНИЯ / VHDL / SOFTWARE PRODUCTS / DESIGN / CAD / PLD / INFORMATION

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Лисяк Н. К.

В работе рассмотрены программные продукты, предназначенные для проектирования электронных устройств на базе ПЛИС (FРGА, программируемая логика) с использованием большого набора процессорных ядер, VHDLввода проекта и VHDL-моделирования для многих типов программируемых интегральных схем. Информация приводится на период до 2008 года и содержит сведения о производителях программных продуктов САПР, представленных в России.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Software Products for PLDbased Electronic Devices Design

There are reviewed software products for PLD-based (FРGА, programmable logic) electronic devices design using large set of processor cores, VHDL-input of a project and VHDL-modeling for many types of programmable integrated circuits. The article includes data up to 2008 and contains information about CAD products represented in Russia.

Текст научной работы на тему «Программные продукты проектирования электронных устройств на базе ПЛИС»

БИБЛИОГРАФИЧЕСКИЙ СПИСОК

1. Краткое техническое описание, 2001. - http://epu.ref.nstu.ru/files/downloads/softndocs/ Xilinx/D 1 /xilinx/ sw_doc/pdf/.

2. Комухаев Э. Новые микросхемы семейств FPGA, ASIC, ASSP. Chip News №10(93), 2004. - C.32-36.

3. ISE WebPACK Software, 2008. - http://www.xilinx.com/ise/logic design prod/ web-pack.htm.

УДК 658.512.2.011.5

H.K. Лисяк

ПРОГРАММНЫЕ ПРОДУКТЫ ПРОЕКТИРОВАНИЯ ЭЛЕКТРОННЫХ УСТРОЙСТВ НА БАЗЕ ПЛИС*

Значительным стимулом для прогресса автоматизации проектирования в электронике (ECAD - Electronics Computer Aided Design) стали разработка и разви-, . время на рынке интеллектуальных технологий имеется большое число программ

, , -

дит весьма динамично.

Системы ЕСАВ создавались и совершенствовались параллельно с прогрессом микроэлектроники. В электронике наиболее наукоемкими процедурами, насыщенными сложным математическим обеспечением, являются процедуры проектирова-. , , ,

, -

, .

Формализация процедур структурного синтеза в общем случае затруднитель-, -

,

[1]. Характерные особенности технологии изготовления и проектирования имеют микропроцессоры и схемы памяти, заказные и полузаказные СБИС, в том числе, программируемые логические интегральные схемы (ПЛИС). Эти особенности обусловливают различия в методах проектирования схем и требуют их отражения в применяемом математическом и программном обеспечении ECAD.

В качестве ПЛИС широко используют программируемые логические схемы CPLD (Complex Programmable Logic Device) программируемые логические схемы и программируемые вентильные матрицы FPGA (Complex Programmable Logic Device). В случае использования CPLD для отражения структуры конкретной схемы в инвариантном по отношению к приложению множестве функциональных ячеек требуется выполнить заключительные технологические операции металлизации. В случае схемы FPGA программатор, согласно заданной программе, просто расплавляет имеющиеся перемычки или, наоборот, их создает, локально ликвидируя тонкий изолирующий слой. Следовательно, при использовании CPLD и FPGA необходимо с помощью САПР выбрать систему связей между ячейками программируемого прибора в соответствии с реализуемыми в схеме алгоритмами и синтезировать программы управления программатором или заключительной операцией ме-

* Работа выполнена при поддержке: РФФИ (грант № 08-01-00473), РНП 2.1.2.3193, РНП 2.1.2.2238, г/б № Т.12.8.08.

таллизации. Ячейки могут быть достаточно сложными логическими схемами, вентилями или даже отдельными транзисторами. На производстве кристаллов ПЛИС специализируется ряд фирм (Xilinx Inc., Altera, Actel и другие) и, зачастую, они поставляют программное обеспечение для синтеза схем на производимых ими кристаллах.

Основными производителями программных продуктов для проектирования электронных устройств на базе ПЛИС являются фирмы Mentor Graphics Corp., Aldec, Ins. и Xilinx Inc. (США) и фирма Altium Limited (Австралия). Информация в

2008

продуктах этих производителей, представленных в России.

В работе кратко рассмотрены программные продукты в области проектиро-

(F G , )

предназначенные для:

♦ проектирования на схемотехническом уровне с последующей передачей данных в программы, создающие печатные платы или ПЛИС;

♦ отладки процессорных яде р на уровне исходных кодов;

переходом с выбранного кристалла на другой кристалл;

♦ редактирования ядер процессоров н а уровне исходных кодов на С или ассемблере (С и ассемблер-компилятор с высоким уровнем оптимизации,

, );

(FPS ) ;

CPLD и FPGA.

На рис. 1 показаны производители и их программные продукты в области проектирования электронных устройств на базе ПЛИС, а ниже приводится краткое описание их основных возможностей и особенностей. При подготовке обзора использованы источники [2-6].

Mentor Graphics Corp.

Precision Synthesis FPGA Advantage Aldec, Ins. Active-HDL Riviera-IPT

Xilinx Inc.

ChipScope Pro D

ISE Foundation PlanVhead System Generator for DSP

Altium Limited

Altium Desinger CircuitStudio Nexar

США АВСТРАЛИЯ

Рис. 1. Программные продукты проектирования электронных устройств на базе ПЛИС

Программные продукты компании Altium Limited, Австралия

Altium Desinger. Представляет собой комплект известных программ компа-Altium Limited, -

тронных устройств на базе схем программируемой логики (ПЛИС).

В комплект входят следующие программы:

♦ CAMtastic - ;

♦ CircuitStudio -

данных в программы, создающие печатные платы или ПЛИС;

♦ Nexar - (FPGA)

использованием библиотек процессорных ядер;

♦ tel - .

Altium Limited :

♦ возможность назначения л иниям на схеме стиля стрелки;

♦ возможность редакторов ания текста на листе схемы;

♦ группировку ком понентов на схеме, сохранение их как заготовок;

♦ перемещение объектов на плате на заданное расстояние;

♦ rue ;

♦ поддержку трассировки д ифференциальных пар и шин;

♦ редактирование зе ркального вида платы;

♦ совместимость с библиотеками PSpice.

CircuitStudio. Программа предназначена для моделирования и анализа целостности сигналов и позволяет выполнять проектирование на схемотехническом уровне с последующей передачей данных в программы, создающие печатные платы или ПЛИС.

tel. -

Altium Designer.

Позволяет вводить в проект VHDL-описания. Имеется поддержка поведенческого VHDL-моделирования. В CircuitStudio имеются общие с Protel библиотеки (более 68000 элементов). Библиотеки позволяют присоединить к одному УГО неограниченное количество корпусов, SPICE-моделей, моделей для анализа целост-.

Цифроаналоговое проектирование осуществляется по принципиальной схеме. Среди средств анализа имеется и метод Монте-Карло. Всего более 16000 матема-.

Импедансы и возможные перекрестные отражения могут быть проведены на заключительных этапах работы. Возможен предварительный расчёт перекрестных отражений до этапа компоновки и трассировки печатной платы.

D D,

DWG DXF, -

матах. Оформление перечней элементов по ЕСКД.

Nexar. Программа позволяет проектировать электронные устройства на базе (F G , ) -

.

Altium Designer.

Nexar :

♦ VHDL-моделирование и VHDL-ввод проекта;

♦ возможность отладки процессор ных ядер на уровне исходных кодов;

♦ интеграция с платой отладки NanoBoard;

♦ интеграция с технологией LiveDesign;

♦ комплект синтезированных IP--блоков и ядер процессоров;

♦ схемный в вод проекта.

Возможно создание проектов на кристаллах всех ведущих производителей. Легкий переход с выбранного кристалла на другой кристалл.

Редактирование ядер процессоров на уровне исходных кодов на С или ас-( - , , ).

Nexar

LiveDesigne, -

тролировать в реальном времени то, что происходит в ПЛИС в процессе проекти.

Программные продукты компании Aldec, Ins., США

Active-HDL. Программа предназначена для проектирования цифровых устройств и является полностью интегрированной средой разработки цифровых уст-

HDL . ,

для многих типов программируемых интегральных схем ПЛИС. Программа поддерживает средства синтеза и размещения-р^водки для основных производителей ПЛИС (FPGA). Имеется возможность использовать встроенный генератор I - , : , ,

, , , -, .

Встроенная функция Code2Graphics может генерировать графическое пред, VHDL Verilog. Code2Graphics -

EDIF -

ет один или более файлов блок-диаграмм в зависимости от количества проектных , .

Программа содержит прекомпилированные библиотеки производителей . L.

Active-HDL полностью совместима с системой моделирования Riviera, которая позволяет производить моделирование на платформах Linux и Unk.

Обеспечивается двунаправленный обмен атрибутами между моделями SolidWorks . :

VHDL 1076-87/93, Verilog 1364-95/2001 (частично), VITAL 1076.4-95/2000, SDF 1.0, 2.0 и 3.0.

Riviera-I . - -

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

лирования и является средой моделирования, позволяющей для ускорения верификации размещать проект целиком или частично на платах прототипах. Это дает значительный прирост производительности в сравнении с обычной программной верификацией и значительно ускоряется процесс верификации ASIC и FPGA ( ) .

Riviera-I I- -

.

смешанны VHDL, Verilog, и SystemC проекты.

Программа автоматически находит и переводит в аппаратное представление , .

Riviera-I :

♦ Riviera;

♦ плата у скорителя;

♦ управление моделированием проекта (Design Verification Manager).

Design Verification Manager , -

ляя не синтезируемые участки в симуляторе и размещая, синтезируемые части на .

Riviera-I (F G )

I- .

В процессе моделирования плата ускорителя синхронизируется с программным симулятором и работает с ним на одной частоте.

Программные продукты компании Mentor Graphics Corp., США

Precision Synthesis. -

LD F G ,

зрения простоты использования и высокого качества результатов.

Поддерживает работу с многомиллионными программируемыми системами (FPS ) .

VHDL Verilog -

том заданных ограничений на основе встроенных библиотек изготовителей.

Пакет имеет встроенную систему статического временного анализа, возможность анализа и отладки в инкрементном режиме и интуитивный пользовательский интерфейс, позволяющий легко управлять процессом синтеза, как опытному инженеру, так и новичку. Precision RTL Synthesis включает уникальный алгоритм оптимизации - Architecture Signature Extraction (A.S.E.). Алгоритм автоматически выделяет в проекте наиболее критичные области, ограничивающие производительность , ,

уровнями иерархии проекта или логические пути с очень большим количеством комбинационной логики. Алгоритм (A.S.E.) использует эвристический анализ в автоматическом режиме для уменьшения объема проекта и увеличения его производительности без необходимости ручного вмешательства пользователя.

Precision RTL Synthesis FPGA, Advantage, включающий пакеты HDL Designer и ModelSim. Поддерживаются все серии кристаллов Xilinx, Altera, Actel и Lattice.

FPGA Advantage. Представляет собой комплект программ компании Mentor Graphics, предназначенный для проектирования систем на ПЛИС FPGA. В комплект входят следующие программы:

♦ HDL Designer - текстовый и графический ввод HDL-проектов, управление процессом проектирования, экспорта/импорта IP-блоков, выпуск до;

♦ Leonardo Spectrum - система логического синтеза FPGA /ASIC;

♦ ModelSim -

VHDL, Verilog, SystemC;

♦ Precision RTL/Physical Synthesis - логический и физический синтез FPGA.

. -рования PDL/FPGA. Включает средство создания проекта - HDL Designer, средство анализа и моделирования проекта - ModelSim и средство логического/физического синтеза - Precision RTL/Physical Synthesis или Leonardo Spectrum. Качество результатов и продуктивность улучшены благодаря глубокой интеграции вышеуказанных средств в единый маршрут проектирования. Ядром FPGA Advantage DL Designer, ,

управления процессом проектирования и выпуска документации, реализует функции повторного использования проектных решений и является связующим звеном

. F G Advantage -

ется мощным средством проектирования, которое может использоваться отдельно или совместно с программами других поставщиков.

Программные продукты компании Xilinx Inc., США

hipS . hipS -

ладку ПЛИС FPGA фирмы Xilinx. Работает посредством внедрения в проект I - , -

/ , -лом, включая встроенные аппаратные или софт-процессоры. Сигналы захватываются со скоростью, допустимой хост-компьютером, и передаются через интерфейс JTAG, освобождая таким образом программируемые выводы ПЛИС для использования разработчиком. Следует отметить, что по интерфейсу JTAG производится и загрузка конфигурационной последовательности в ПЛИС, соответственно для отладки не требуется какое-либо дополнительное оборудование. Захваченные сигналы могут быть проанализированы с помощью логического анализатора, входящего hipS .

Xilinx.

hipS

Agilent,

, -

ности и, кроме того, задействует для своей работы всего несколько выводов FPGA. Для работы программы ChipScope Pro необходим пакет ISE Foundation или WebPack .

Поддерживаются ОС: WindowsXP/2000, Red Hat Enterprise, Solaris.

D . Xilinx Embedded Development Kit ( D ) - -

ный пакет для сквозной разработки встраиваемых программируемых процессор-

Xilinx. Platform

Studio, всю необходимую документацию и IP-ядра, которые могут потребоваться

F G Xilinx

аппаратными ядрами процессора PowerPC и/или софт-процессорами MicroB1aze.

D IS Founddation IS WebPack -

.

Основные модули:

♦ Xilinx Platform Studio (XPS): графический редактор управления файлами

; ED IS .

♦ Средства разработки ПО: GNU C/C++ компилятор программ для процессоров MicroB1aze и PowerPC; XMD-отладчик для процессоров Xilinx Microprocessor Debug engine.

♦ Xilinx ,

.

♦ IP-ядра периферийных шин и устройств для процессоров MicroB1aze и

w.

♦ IP-ядро процессора MicroB1aze.

♦ VHDL/Verilog-модели процессоров и IP-ядер для использования при си-

.

Поддерживаются ОС: WindowsXP/2000, Red Hat Enterprise Linux 3, Solaris.

ISE Foundation. Пакет программ ISE Foundation (версия 8.li) предназначен

ilin -

мейств:

♦ Virtex-4 F (L ), Virtex-4 S , Virtex-II , Virtex-II, Virtex- , Virtex;

♦ Spartan-3E, Spartan-3/3L, Spartan-IIE, Spartan-II;

♦ CoolRunner-II, CoolRunner XPLA3;

♦ 9500 V, 9500 L, 9500.

Основные модули пакета:

♦ редактор схемотехнического ввода;

♦ VHDL Verilog;

♦ R n to - I - ;

♦ редактор тестовых воздействи й для программы моделирования;

♦ программа функционального и временного моделирования;

♦ синтезатор VHDL/Verilog-кода;

♦ программа автоматического размещения и трассировки ПЛИС;

♦ программы «ручного» размещения и оптимизации проекта;

F G LD .

ISE WebPack - бесплатный пакет, по адресу: http://www.xilim.com, ограничение: поддержка ПЛИС емкостью до 1,5 млн. системных вентилей.

PlanAhead. Программное обеспечение P1anVhead позволяет разработчикам через анализ топологии кристалла повышать производительность проектов.

1 n h d , -

вая существенное уменьшение количества и длительности итераций повторного проектирования. P1aMhead предоставляет возможность взглянуть изнутри на процесс размещения и трассировки и быстро проверить «а что будет, если?», предла-, , . с ISE 8.li разработчики могут получить до 30% прироста производительности по сравнению с аналогичными решениями, в то время, как для сложных проектов с

56% .

Для работы пакета P1aMhead необходим пакет ISE Foundation или ISE WebPack .

Поддерживаемые ПЛИС Xilinx: Virtex-II Pro; Virtex-II; Spartan-3E; Spartan-3; Virtex-4 F ; Virtex-4 L ; Virtex-4 S . : Microsoft Windows

2000 или XP Professional; Sun Solaris 2.8/5.8, 2.9/5.9; Red Hat Enterprise Linux 3 (32 64 ).

System Generator for DSP.

высокопроизводительных систем цифровой обработки сигналов. Позволяет использовать наиболее производительные из имеющихся на рынке FPGA фирмы ilin . , -

ванное от конкретной аппаратной платформы, которое автоматически компилируется в FPGA без потерь в производительности по сравнению с проектами, реализованными на языках более низкого уровня, таких как VHDL. System Generator является частью технологии XtremeDSP фирмы Xilim, которая объединяет самые со-F G , , I - , -

ilin .

ilin System Generator for DSP MathLab + Simulink IS

Foundation ISE WebPack . :

♦ автоматическая генерация VHDL/Verilog файлов из Simulink и функций, написанных в MathLab;

♦ 10/100/1000 Ethernet,

I, Cardbus ,J G Simulink ;

♦ интерфейс к ISE и к ModelSim;

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

♦ реализация и отладка высокопроизводительных систем цифровой обработки сигналов в БтЫшк с использованием оптимизированных ХШж блоков, реализующих, например, такие функции, как БПФ, КИХ-фильтр, декодер Виттерби, кодер/декодер Рида-Соломона, БІГО, ОЗУ, ПЗУ и т.д.;

♦ создание сопроцессорного блока цифровой обработки сигнала для микропроцессора МюшБ^є 32-Ьії ЯШС.

БИБЛИОГРАФИЧЕСКИЙ СПИСОК

1. Норенкав ИЛ. Основы автоматнзнрованного проектирования. - М.: Изд-во М'ГТУ им.

Н.Э.Баумана, 2002.

2. Латышев ПЛ. Катало г САПР. - М.: САЛОН-ПРЕСС, 2006.

3. http://www.megratec.ru; Ьйр://^^^. mentor.com

4. http://www. xilinx.com; http://www.plis.ru

5. http://www.eltm.ru; http://www.aldec.com

6. http://www.eltm.ru; http://www. altium.com

УДК 621.03

. . , . . , . . , . .

РАЗРАБОТКА МОДУЛЯ РАЗМЕЩЕНИЯ УЧЕБНО-ИССЛЕДОВАТЕЛЬСКОЙ САПР НА БАЗЕ САПР KICAD*

. -

ванного конструирования ЭВА требует использования в учебном процессе учебноисследовательских САПР на базе промышленных САПР с открытыми программным, математическим и другими видами обеспечений, так называемых, открытых САПР. Открытость программного обеспечения - это, прежде всего, открытость , . Работа с открытой САПР позволяет познакомиться со структурой программного обеспечения, исходным кодом программных модулей, организацией взаимодействия программных модулей различных проектных процедур, структурой и организацией файлов и т.д. Кроме того, использование форматов входных и выходных данных отдельных проектных процедур открытых промышленных САПР позволяет исследовать в учебных и научных целях различные методы и алгоритмы проектных процедур и операций и при этом использовать все возможности открытой промышленной САПР - от использования редактора схем, до объемного моделирования печатной платы. Таким требованиям отвечает промышленная САПР KiCad [1, 2].

САПР KiCad. Knowledge Infrastructure for Collaborative Product Development (KiCad) - - , GPL -

граммный комплекс класса EDA с открытыми исходными текстами, предназначенный для разработки электрических схем, печатных плат, гибридных интегральных , .

KiCad GPL ,

. KiCad Linux,

* Работа выполнена при поддержке: РФФИ (гранты № Q6-Q1-QQ272), РНП 2.1.2.3193, РНП 2.1.2.2238, г/б № T.12.8.Q8.

i Надоели баннеры? Вы всегда можете отключить рекламу.