Научная статья на тему 'ПРОЕКТИРОВАНИЕ КИХ-ФИЛЬТРА НА УМНОЖИТЕЛЕ МЕТОДОМ ПРАВОГО СДВИГА И СЛОЖЕНИЯ В БАЗИСЕ ПЛИС'

ПРОЕКТИРОВАНИЕ КИХ-ФИЛЬТРА НА УМНОЖИТЕЛЕ МЕТОДОМ ПРАВОГО СДВИГА И СЛОЖЕНИЯ В БАЗИСЕ ПЛИС Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
32
9
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Строгонов Андрей, Быстрицкий Алексей, Джиоти Алан

Самые быстрые умножители состоят из двумерной матрицы одноразрядных сумматоров и называются матричными умножителями. Наиболее распространены матричные умножители по схемам Бо-Вулли и Пезариса, а также древовидного формата. В отличие от умножителей, использующие метод сдвига и сложения, матричный умножитель представляет собой законченную логическую схему без элементов памяти. Реализовывать такие умножители для операндов большой разрядности в базисе ПЛИС в проектах пользователя возможно, но неэффективно по двум причинам. Во‑первых, прямая реализация потребует чрезвычайно много логических ресурсов и времени, а во‑вторых, структура матричных умножителей уже используется в каком-либо виде в качестве аппаратных умножителей ПЛИС, обеспечивая наивысшее быстродействие.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «ПРОЕКТИРОВАНИЕ КИХ-ФИЛЬТРА НА УМНОЖИТЕЛЕ МЕТОДОМ ПРАВОГО СДВИГА И СЛОЖЕНИЯ В БАЗИСЕ ПЛИС»

ПЛИС

компоненты

63

Проектирование КИХ-фильтра на умножителе

методом правого сдвига и сложения в базисе ПЛИС

Андрей СТРОГОНОВ, д. т. н.

andreistrogonov@mail.ru Алексей БЬЮТРИцКИй, к. т. н. bystritsky@bk.ru Алан ДЖИОТИ

Самые быстрые умножители состоят из двумерной матрицы одноразрядных сумматоров и называются матричными умножителями. Наиболее распространены матричные умножители по схемам Бо-Вулли и Пезариса, а также древовидного формата. В отличие от умножителей, использующие метод сдвига и сложения, матричный умножитель представляет собой законченную логическую схему без элементов памяти. Реализовывать такие умножители для операндов большой разрядности в базисе ПЛИС в проектах пользователя возможно, но неэффективно по двум причинам. Во-первых, прямая реализация потребует чрезвычайно много логических ресурсов и времени, а во-вторых, структура матричных умножителей уже используется в каком-либо виде в качестве аппаратных умножителей ПЛИС, обеспечивая наивысшее быстродействие.

Общие сведения по программным умножителям

В ПЛИС для повышения их функциональных возможностей встраивают (например, для серии Cyclone III фирмы Altera) аппаратные умножители, которые могут быть сконфигурированы в виде одного умножителя 18x18 либо в виде двух умножителей 9x9. Так, ПЛИС EP3CLS200 содержит 396 аппаратных умножителей 18x18, а на оставшихся ресурсах может быть реализован 891 программный умножитель 16x16. В итоге суммарное число умножителей составляет 1287 без какого-либо значительного использования логических ресурсов.

Для устройств цифровой обработки сигналов хорошо себя зарекомендовали софт-умножители (программные умножители), которые не требуют ресурсов аппаратных умножителей, встроенных в базис ПЛИС. Повысить производительность устройств цифровой обработки сигналов позволяет также использование параллельного векторного умножителя и безумножительные схемы умножения на основе распределенной арифметики [1].

Рассмотрим параллельные программные умножители, способные вычислять произведение за один такт синхроимпульса, что обеспечивает наивысшую производительность устройств цифровой обработки сигналов. Программные умножители БИС программируемой логики (БИС ПЛ) фирмы Actel серий Fusion, IGLOO и ProASIC3 ре-

Таблица 1. Умножитель размерностью 3x3, реализованный с помощью 6-входовой LUT

Множимое

000 001 010 011 100 101 110 111

000 000000 000000 000000 000000 000000 000000 000000 000000

001 000000 000001 000010 000011 000100 000101 000110 000111

010 000000 000010 000100 000110 001000 001010 001100 001110

ф 011 000000 000011 000110 001001 001100 001111 010010 010101

о 100 000000 000100 001000 001100 010000 010100 011000 011100

Е 101 000000 000101 001010 001111 010100 011001 011110 100011

110 000000 000110 001100 010010 011000 011110 100100 101010

111 000000 000111 001110 010101 011100 100011 101010 110001

ализуются на блочной памяти меньшей размерности, чем у ПЛИС фирмы Altera, и их можно рассматривать как 8-входовые LUT или таблицы произведений [2, 3]. Таблица произведений множимого, записанная во фрагмент блочной памяти, называется LUT.

В таблице 1 приведен умножитель размерностью 3x3, реализованный с помощью 6-входовой LUT.

Например, в ПЛИС фирмы Actel используется ОЗУ емкостью 256 слов x 8 бит (256 8-разрядных слов), а в ПЛИС фирмы Altera — память M4K, которая может быть сконфигурирована как 128 слов x 36 бит или 256 слов x 18 бит для серии Cyclone II. Такие умножители получили название RAM-LUT умножители, или LUT-based умножители.

На рис. 1 показан умножитель 4x4 на базе синхронного ОЗУ емкостью 256 8-разрядных слов. Множимое (младшие четыре разряда адресной шины) и множитель (старшие четыре разряда адресной шины), представленные 4-разрядным двоичным кодом, объединяются в 8-разрядную адресную шину, адресуя своим уникальным кодом содержимое конкретной строки ОЗУ (операнды), являющееся 8-разрядным произведением.

Недостатком такого умножителя является резкое возрастание требуемого объема блочной памяти в случае увеличения его разрядно-

Множимое[3:0]

Множитвль[3:0]

Время

Адрес[7:0]

Время

Синхронное ОЗУ

емкостью 256 8-разрядных слов

Выходные данные[7:0]

Резулыш17:0]

Рис. 1. Программный умножитель размерностью 4x4 на базе ОЗУ емкостью 256 8-разрядных слов (256x8) фирмы Д^е!

24 43 „24 А 43 В X 2 3 А В _ 4 А 4 В X 2 4 А В 24*0=0 24x1=24

+ 72 96 1032 см 1- СО CD О т- 00 12 6 160 800 < сдвиг на 1 позицию в соответствии с весом десятичного кода 12 60 16 < сдвиг на 1 позицию в соответствии с весом 12 60 160 8 < сдвиг на 2 позиции 24x2=48 24x3=72\ 24x4=96 X 24 72 960 < сдвиг на 1 позицию <1032 в соответствии с весом десятичного кода

H 1032 0 1032 1032 десятичного кода 1032 в соответствии с весом десятичного кода 24x9=360 И

Рис. 2. Принцип умножения: а) «в столбик» по правилу умножения десятичных чисел; б) с использованием частичных произведений; в) на константу

сти. Для умножителя размерностью 8x8 требуется 65 536 16-разрядных слов. Поэтому, чтобы предотвратить рост требуемой памяти, на практике используется умножитель на суммировании частичных произведений в соответствии со своим весом (partial product multipliers).

На рис. 2 показан пример умножения десятичного числа 24 на 43. Например, произведению 2 на 4 приписывается вес 100, что равносильно сдвигу на две позиции в десятичной системе. В этом случае необходим умножитель размерностью 8x8. Однако согласно принципу умножения с использованием частичных произведений требуется четыре умножителя размерностью 4x4 для формирования четырех частичных произведений и три сумматора: (4x3+((2x3)x10))+ + ((4x4) + ((2x4)x10)x10) = 1032. На рис. 3 приведена структурная схема такого умножителя [2].

Также для сдвига на одну и две десятичные позиции потребуется три сдвиговых регистра на четыре разряда влево и дополнительные блоки, выполняющие операции расширения знака со значением старшего разряда.

Программные умножители на константу

Одна из наиболее распространенных операций цифровой обработки сигналов — умножение числа на константу. Для перемножения двух чисел достаточно иметь табли-

цу произведений множимого (константы) на весь ранг возможных цифр множителя (табл. 2) и осуществить корректное суммирование полученных частичных произведений (рис. 2в).

Программные умножители фирмы Actel реализуются на 8-входовых LUT. Множимое (константа) предопределено. В этом случае необходимо два блока памяти емкостью 256 8-разрядных слов, позволяющих организовать массив памяти 256 16-разрядных слов из двух блоков емкостью 256 8-разрядных слов, выходная шина которого и есть 16-разрядный результат умножения двух 8-разрядных чисел (рис. 4).

Что же касается программных умножителей Altera, то встроенная блочная память TriMatrix в ПЛИС этой фирмы, например типа M9K, используемой в качестве LUT, в которых хранятся частичные произведения, позволяет реализовывать параллельные

Таблица 2. Умножение 4-разрядного числа на константу 24 (рис. 2в)

Входы X[3]

X[2] X[1] X[0] 0 1

000 0 192

001 24 216

010 48 240

011 72 264

100 96 288

101 120 312

110 144 336

111 168 360

умножители, экономя при этом не только аппаратные умножители, но и ресурсы логических блоков. Наличие программных и аппаратных умножителей приводит в целом к увеличению общего числа возможных умножителей.

Использовать программные и аппаратные умножители в проекте можно через мегафункции. Например, мегафункции lpm_mult, altmult_add и altmult_accum позволяют использовать аппаратные умножители. Рассмотрим мегафункцию ALTMEMMULT — программный умножитель. С помощью этой мегафункции можно осуществлять процесс умножения числа на константу C, при этом константа может храниться в блочной памяти ПЛИС либо загружаться с внешнего порта.

Для ПЛИС серии Cyclone II есть возможность использовать только память M4K (128x36 бит) или режим Avto. Например, ПЛИС EP2C70 содержит 250 блоков M4K. На рис. 5 показан принцип умножения числа на константу. В целом он не отличается от ранее рассмотренного. При этом число и константа могут быть как со знаком, так и без него. А на рис. 6 показан принцип построения программного умножителя 16-разрядного числа на 10-разрядную константу (обозначена буквой C) с использованием блоков памяти типа M4K большего размера, чем у БИС ПЛ фирмы Actel, для случая, когда константы хранятся в блочной памяти, то есть отсутствует возможность их загрузки

Множитель[7:0]

Предопределенное множимое

Адрес[7:0]

Время

ОЗУ (256x16), полученное путем объединения двух блоков 256x8

Синхронное ОЗУ емкостью 256 8-разрядных слов

Синхронное ОЗУ емкостью 256 8-раэрядных слов

Выходные данные[15:0]

Результату 5:0]

Рис. 3. Структурная схема умножителя размерностью 8x8 с использованием четырех умножителей размерностью 4x4

Рис. 4. Программный умножитель размерностью 8x8 числа на константу на базе ОЗУ емкостью 256 16-разрядных слов фирмы Д^е!

ПЛИС

компоненты i 55

Число [15..8] Число [7..0] Знаковая часть числа, MSB Беззнаковая часть числа, LSB

I I I I I I I I I I I I I I I I I Число [15..0]

X 1/И/И/И/И/И Константа [9..0]

Расширение знака

---И/И/И/И/И/И/И/И/И/1 LSB Частичное произведение [17..0]

MSB Частичное произведение [25..8]

Результат умножения Mult_Results [25..0]

Т 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1

1

Сумма двух ситалов частичных произведений MSB и LSB

Рис. 5. Принцип параллельного умножения 16-разрядного числа на 10-разрядную константу

извне (отсутствуют адресные порты для загрузки коэффициентов).

Входной 16-разрядный сигнал разделяется на два 8-разрядных сигнала с именами LSB (младший значащий разряд) и MSB (старший значащий разряд) [3]. Сигнал LSB адресуется к блоку памяти M4K с одноименным названием LSB, а сигнал MSB адресуется к блоку памяти M4K с одноименным названием MSB. В блочной памяти LSB хранятся 256 предварительно вычисленных частичных произведений с именем «LSB Частичное произведение [17..0]» (младшее частичное произведение) разрядностью 18 бит с диапазоном от 0 до 255xC, а в памяти MSB с диапазоном от 0 до (-1)xC хранятся 256 предварительно вычисленных частичных произведений с именем «MSB Частичное произведение [25..8]» (старшее частичное произведение). Далее старшее частичное произведение необходимо сдвинуть

на восемь разрядов влево, а затем осуществить сквозное суммирование.

Умножение фактически осуществляется за один такт синхроимпульса, который необходим для загрузки входных значений сигналов LSB и MSB в адресные порты блоков памяти. Еще два такта требуются для конвейеризации задержки вычислений, так как выходные значения блоков памяти, представляющие собой частичные произведения, должны быть еще просуммированы с соответствующими весами для получения 26-разрядного результата умножения.

В качестве примера на рис. 7 показаны настройки мегафункции ALTMEMMULT для умножения 4-разрядного числа, представленного дополнительным кодом, и 4-разрядной константы, загружаемой из внешнего порта. В этом случае требуется 20LUT логических блоков плюс один блок памяти типа M4K и 20 триггеров (20LUT+1M4K+20reg).

При загрузке константы из блочной памяти нужен всего лишь один M4K.

Принцип построения, представленный на рис. 5, не раскрывает все тонкости такого умножителя. В частности, не показана операция расширения знака числа.

На рис. 8 приведен принцип построения программного умножителя на константу размерностью 8x8 с использованием двух 4-входовых LUT ПЛИС серии XC4000 [4]. На рис. 8 обозначено: V — входной 8-разрядный сигнал; P1 и P2 — младшее и старшее частичные произведения; C — константа. В частности, здесь показано, как на практике осуществляется сдвиг на четыре разряда влево. Для умножителя требуется 25 конфигурируемых логических блоков (КЛБ). Объединяя такие умножители в секции (одна секция на отвод фильтра), можно построить высокопроизводительный параллельный КИХ-фильтр, работающий на частоте 50-70 МГц.

Проектирование КИХ-фильтра с использованием умножителя методом правого сдвига и сложения

Рассмотрим уравнение КИХ-фильтра (нерекурсивного цифрового фильтра с конечно-импульсной характеристикой), которое представляется как арифметическая сумма произведений:

АГ-1

у=Т,сл> (1)

к=0

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

где y — отклик цепи; xk — k-ая входная переменная; ck — весовой коэффициент k-ой вход-

Вход [15..0]"

М4К ОЗУ (1)

Блок (LUT) I—I

256 х 18

(MSB) LI

М4К ОЗУ Блок (LUT) 256« 18 (MSB)

(1) □

Адрес MULT RESULT

00000000 0

00000001 С

00000010 2 * С

00000011 3 * С

11111110 -2 «С

11111111 -1 «С

да-

Выход [25..0]

Адрес MULT RESULT

00000000 0

00000001 С

00000010 2*С

00000011 3*С

11111110 254 «С

11111111 255 х С

Рис. 5. Программный параллельный умножитель 16-разрядного числа на 10-разрядную константу размерностью 16x10 с использованием двух блоков памяти типа M4K в качестве LUT (Altera)

Рис. 7. Мегафункция ALTMEMMULT,

настроенная для реализации программного умножителя 4x4

8-разрядное слово \J

7 6 5 4_3 2 10

Регистр

LUT1

Регистр

Сумматор

Регистр

-g

V7 V6 V5 V4 00 0 0

0 0 0 0 VJVzVTVO

V1

vo

V = V1+V0

Vxc = V1 xConst+VOxConst = P2+P1

Расширение

знака PI +..................

+1/И/И/И/1/И/И/1 о I о I о I ol

P2

16-разрядное произведение

Р2 Р1

ADRS LUT2 LUT1

0 0000 0 0

1 0001 1 х Const 1 х Const

2 0010 2 х Const 2 х Const

3 0011 3 x Const 3 х Const

4 0100 4 x Const 4 х Const

5 0101 5 x Const 5 х Const

6 0110 6 x Const 6 х Const

7 0111 7 x Const 7 х Const

8 1000 -8 x Const 8 х Const

9 1001 -7 x Const 9 х Const

А 1010 -6 x Const А х Const

В 1011 -5 x Const В х Const

С 1100 -4 x Const С х Const

D 1101 -3 x Const D х Const

Е 1110 -2 x Const E x Const

F 1111 -1 x Const F x Const

16 старших 16 младших

SIGN частичных частичных

BIT произведений произведений

разрядностью разрядностью

12 бит 12 бит

Рис. 8. Программный умножитель на константу размерностью 8x8 с использованием двух 4-входовых LUT ПЛИС серии xC4000

ной переменной, который является постоянным для всех n; K — число отводов фильтра.

На рис. 9 показана тестовая схема КИХ-фильтра на четыре отвода y = C0x0 + C1x1 + + C2x2 + C3x3 в САПР ПЛИС Quartus II для реализации в базисе ПЛИС серии Cyclone II. Эта схема состоит из линии задержки, четырех умножителей и дерева многоразрядных сумматоров. Предположим, что коэффициенты фильтра — целочисленные, со знаком, они известны и равны C0 = -2, C1 = -1, C2 = 7, C3 = 6.

Числа (входные отсчеты) поступают с выходов линии задержки на регистрах reg_tap на входы data_in[3..0] мегафункции ALTMEMMULT. Константы C0, C1, C2, C3, в которых хранятся значения коэффициентов в дополнительном коде (14D, 15D, 7D и 6D), подключены к входам coeff_in[3..0]. В каждой из четырех мегафункций ALTMEMMULT в блочной памяти ПЛИС типа M9K хранятся нулевые коэффициенты (они могут быть и не нулевыми). Режим загрузки с внешнего порта или из блочной памяти определяется опцией create ports to allow loading coefficients. Латентность мегафункции — два

Рис. 9. КИХ-фильтр на четыре отвода с использованием мегафункции ALTMEMMULT

Рис. 10. Результат моделирования прохождения аналога дельта-функции по структуре КИХ-фильтра на четыре отвода

Н ТЗ

о — К _С<— о

п " •

н Й

к ё •

О! 2

^ 3

И

« И

« О

о М ^ §

р >< ~ 4-

~ к

I—I №

тз ^з

и В

5 м

к и

й о

V м

а а Е Е

п> й

2 >е-а

3 к 3 5 3 2

1-1 № го

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

в

п » 3

ю »

><! щ о н

& §

■р!

О к

а и

а н В в

р> К ^ К № и

Н 13

? о о

а

В а

О

им" » к •

й « ? II. £

о % Э н 3 я

И -К о -ч К М

2 М

Й в

►~1 >н

^ м

г~< от

п п

к й

3 5

£ 3

►т1 н ^ п 13

Р

Я 2

в >

"Ы 00

о а

И

я

в И

13 о

я к

Р о

н- Я

а §

►3 £

к 2

а

в

^ -Э

к 3

м з

и

со ьз

в в

м о.

а о Й

N

и 'л

К "

►е-

13 ^

2 о

В °

а м

8 I

о »

13 К

ТЗ о

1 -

§ з

Я -

13

а ю

о й

5 п>

£ »тз

и N

о и

Я н

я -е-

О К

м в

§ 8 ^ о

8 3

N ^

8

Я

о

<"> -м

3 3

^ Я Ц 13

3

►в- а а 13

К

и ><; о я

> 3

О V

а ►©-

о а и ю

& N

о о>

Й ®

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

ё 3

В »

►тз - в

о

а ^

о ">

а о

о н

й и

№ о

•а

►е-а

►е-

^

а

и а а а

н

^ о о

»

о

в №

а

►тз

> о

►тз

С о

м ^

а а

о о

а а

а

►тз

о

а

СО

И о м а

н а Й № а

м »

Я

й К

о

о

►тз =

а

сл № й-

и (г

н-

00 п

х £

н- а

00 о

V *

оз К

й

» »

Л ° К Ъ

« н К й

><; N

п

а

о й №

СО

§ а

р К О Д й СО м № И

г н о * Ч й

а 3 о а Е н

— ~ о

° й

ч ы

И №

о а

м в

в ьз

со е

В й

о й

2 3

и ^

» Я

а №

^ а.

►в-» а »

I 8

» а

Н

Н

2 § г4 и

н ^

а ^ тз а

^ о

N а

н

(г »

а

а »

. и С 13

■ ■ в

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

с

н н

>

о ■ о.

о

а

О '

^з а

Й СО

^ в

^ Л

►тз ►о

я ^

о. Н

й й а а »

Ц ^ 2

3 ° й

а в

о

а

в

й м

№ в

■■ а а Е и

а

о м а

а

« 3 а

оо

п о ь

О"

в

а

а а

о й

П о

Л, м

о тт;

и к

И ^ и

го ь-1

О V

н н

и 13

а в

1 X 3=1 Е Технические характеристики

з1

о Разработанный МАС-блок

о Коэффициенты загружаются из внешнего порта, МГц > И _ =1 1— 3 ^ "О аП 3

о Коэффициенты загружаются из памяти (умножитель на константу), МГц 1 щ

= ^ сл-е-

X -è-

J J ^ ^ .1 t Ï

Щ 3 ! i « * Г M

J ■

Ej J ï

• *■ I ■ i i ?

L £ ! fl

- - ». IM" ■ г M 35 1 a

ÍP

i ■g ■

5

* -

i ■

1 в

- ziZJ Ï

te if Г jj ■а i

I Е H

- g -S

и Í н

1 и ■i "■i ■ ■

ni* i ■_1 s ■ I ■S 1

| >■ ■

! к

л К 1 I ■ï

I

Êj ; ■

ч L „ f ugj ■п i

I E >

1 ~ ■ 1 s

X О S э о х п

Oí -j

Рис. 14. Доработанная схема умножителя с учетом работы в составе КИХ-фильтра

Рис. 15. Временные диаграммы работы КИХ-фильтра на четыре отвода на умножителях с использованием метода правого сдвига и сложения при поступлении входных отчетов —5, 3, 1 и 0. Результат: 10, —1, —40, — 10, 25, 6

зволяет вариант загрузки коэффициентов из блочной памяти ПЛИС (260 МГц).

Разработанный в [4] MAC-блок на основе метода правого сдвига и сложения может быть использован для проектирования высокопроизводительных и компактных КИХ-фильтров небольшой разрядности. При этом на его реализацию в базисе ПЛИС потребуются незначительные логические ресурсы (менее 1%), что позволяет сэкономить аппаратные и программные умножители. Недостатком такого MAC-блока является тру-

доемкость его разработки и наличие своего интерфейса в отличие от унифицированных интерфейсов мегафункции ALTMEMMULT, что может затруднить его использование. ■

Литература

1. Строгонов А. КИХ-фильтры на параллельной распределенной арифметике // Компоненты и технологии. 2013. № 5.

2. Application Note AC222. Using Fusion, IGLOO, and ProASIC3 RAM as Multipliers — www.actel.com

3. AN-306-3.0. Application Note 306. July 2004, ver.3.0. Implementing Multipliers in FPGA Devices.

4. Newgard B. Seminar. Signal processing with Xilinx FPGAs. June 9, 1996. xdsp.ppt. Xilinx Hardware Digital Signal Processing.

5. Строгонов А., Винокуров А., Джиоти А. Проектирование умножителя целых чисел со знаком методом правого сдвига и сложения в базисе ПЛИС // Компоненты и технологии. 2014. № 1.

6. Строгонов А., Быстрицкий А. Проектирование параллельных КИХ-фильтров в базисе ПЛИС // Компоненты и технологии. 2013. № 6.

ПЛАТЫ ПЕЧАТНЫЕ опытное и серийное проиаводешо,

I Е|М1С К ТИ]ЮН Л ЦП G, h-HlH I Н Ж

КОНТРАКТНАЯ РАЗРАБОТКА И ПРОИЗВОДСТВО ЭЛЕКТРОНИКИ

иго акп-гяов РАДИО КО МП

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

ИЗМЕРИТЕЛЬНОЕ I ОБОРУДОВАНИЕ I

wwLvTadiocDrnp.ru

r^AltUKUMII

Стандарты и из мертели чистоты, USE} генераторы сшлдлои и сенсоры мощности^ генераторы СИГналОй, предназначенные для p<i£k>n.i л *устаке автоматического толового оборудования,

источники шума Ii различном исполнении,

Бюджетное и SB анализаторы спектра н фекиш -генераторы для анализа спектра и АЧХ в диапаао-не частот ло12г-1ГГц, анализаторы спектра реального времени н диапазоне ли <> ГГц,

i Надоели баннеры? Вы всегда можете отключить рекламу.