Научная статья на тему 'Оптимизация проверки цифровых модулей технологического оборудования в условиях частичной неопределенности'

Оптимизация проверки цифровых модулей технологического оборудования в условиях частичной неопределенности Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
75
11
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — И.Ш. Невлюдов, Е.П. Второв, Б.А. Шостак

Приведены описание и основные характеристики метода принудительной диагностики цифровых модулей систем управления технологическим оборудованием. Рассмотрены вопросы программной и аппаратной реализации данного метода.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — И.Ш. Невлюдов, Е.П. Второв, Б.А. Шостак

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

The article is developed to the questions of labor input reduction of the process of the technological equipment digital modules' diagnostics and to the increasing of the efficiency of the faults' search in condition of the partial uncertainty. The method of the diagnostics was designed for the solving of the problems, intended for optimization of searching for failure digital element in module of any complication and functional types.

Текст научной работы на тему «Оптимизация проверки цифровых модулей технологического оборудования в условиях частичной неопределенности»

УДК 621.37/39.019.3

И.Ш. Невлюдов, Е.П. Второв, Б.А. Шостак

ОПТИМИЗАЦИЯ ПРОВЕРКИ ЦИФРОВЫХ МОДУЛЕЙ ТЕХНОЛОГИЧЕСКОГО ОБОРУДОВАНИЯ В УСЛОВИЯХ ЧАСТИЧНОЙ

НЕОПРЕДЕЛЕННОСТИ

Приведены описание и основные характеристики метода принудительной диагностики цифровых модулей систем управления технологическим оборудованием. Рассмотрены вопросы программной и аппаратной реализации данного метода.

осуществляют поиск неисправности с заданным уровнем детализации.

Таким образом, происходит ступенчатое углубление детализации. На рис. 1 изображен процесс поиска кратных неисправностей одного структурного модуля.

ВВЕДЕНИЕ

При диагностике сложных цифровых модулей систем с числовым программным управлением (ЧПУ) и вычислительных микропроцессорных устройств в условиях частичной неопределенности (отсутствие принципиальной схемы объекта диагностики, отсутствие информационной или функциональной модели некоторых элементов объекта диагностики), а также при отсутствии возможности аппаратного подключения внешней тестовой эмуляции возникают значительные трудности. Эти трудности также обусловлены тем, что при моделировании сложных объектов действует фундаментальное положение кибернетики: при сложности объекта выше некоторого уровня его адекватная (полная модель) не может быть более простой. Поэтому фактически ни одна система ЧПУ, применяемая в технологическом оборудовании, не имеет исчерпывающего математического описания.

Для выхода из создавшейся ситуации при диагностике цифровых систем необходимо использовать три уровня описания:

- алгоритмический;

- функциональный;

- вентильный.

В этом случае полное математическое описание строится по линейному принципу:

Ь Ьву + Ьфу + Ьау,

(1)

где Ьву - язык вентильного уровня; Ьфу - язык функционального уровня; Ьау - язык алгоритмического уровня. Многоуровневая модель выглядит следующим образом:

Ь ¿ау (Ьфу (^ву)).

Такая последовательность создания диагностических тестов, как показала практика, является наиболее оптимальной с точки зрения снижения трудоемкости и уменьшения временных характеристик процесса диагностики систем ЧПУ. Это связано с тем, что на каждом этапе создания тестовых наборов, тестовые алгоритмы

Рисунок 1 - Процесс поиска кратных неисправностей в цифровом модуле

Таким образом, актульной является задача минимизации времени диагностирования микропроцессорных модулей. Для минимизации можно применить метод экспертных оценок параметров сигналов на выводах цифровых микросхем модуля. При таком подходе возникает проблема создания оптимальной системы принятия решений (о неисправности того или иного дискретного элемента). Под такой системой понимается система, которая минимизирует заданный критерий качества для данного динамического процесса при заданных ограничениях. В случае описанного ниже метода проверки цифровых модулей технологических систем в качестве критерия качества выступает риск принятия неверного решения при заданном входном пространстве состояний, а ограничения заключаются в фиксированной структуре принципиальной схемы и применением логических элементов с открытым коллекторным выходом.

В статье предлагается решить задачу минимизации времени диагностики цифровых модулей посредством применения метода принудительной диагностики. Суть метода принудительной диагностики заключается в подаче внешних тестовых воздействий на определенный исследуемый элемент объекта диагностики посредством специального зонда и анализе полученного с выходов элемента откликов.

Разработанная на основе описанного метода система принудительной диагностики СПД позволяет произво-

И.Ш. Невлюдов, Е.П. Второе, Б.А. Шостак: ОПТИМИЗАЦИЯ ПРОВЕРКИ ЦИФРОВЫХ МОДУЛЕЙ ТЕХНОЛОГИЧЕСКОГО ОБОРУДОВАНИЯ В УСЛОВИЯХ ЧАСТИЧНОЙ НЕОПРЕДЕЛЕННОСТИ

дить диагностику микросхем серий ТТЛ и ТТЛШ, не выпаивая их из платы. В настоящий момент база функциональных моделей составляет 246 типов микросхем, как отечественного, так и импортного производства [1].

Общая методика функционирования СПД заключается в следующей последовательности действий:

1. В исследуемом объекте диагностики (ОД) аппа-ратно блокируются все внутренние генераторы [2];

2. На исследуемый элемент (микросхему) устанавливается зонд;

3. На ОД подается напряжение питания;

4. Активизируется программа динамической инициализации, которая производит формирование управляющих воздействий определенной длительности (для микросхем серии 1533 соответственно 250 нс, 500 нс и 750 нс), которые активизирует внутренний динамический функционал исследуемого элемента [3];

5. Проверяется динамический функционал путем сравнения с прототипом из базы знаний [4];

6. Если динамические функционалы совпадают, то элемент считается исправным, и переходят к исследованию следующего элемента, если нет - то производится аналогичный контроль сопряженных с выходными информационными цепями элементов.

Таким образом, проверяются функциональная исправность всех элементов, прототипы (функциональные модели) которых содержаться в базе знаний. Время проверки одного элемента находится в квадратичной зависимости от количества входных выводов исследуемой микросхемы, и в среднем составляет 3 минуты. Подача внешних импульсов на выходные выводы микросхем не приводит к выходу из строя выходных каскадов в связи с малым временем воздействия и ограничениях максимального импульсного тока (/тах = 500 мА) [5]. Ограничение по току необходимо также и для проверки микросхем, входные цепи которых соединены либо с выходными каскадами с открытым коллектором, либо с цепями питания.

Активизировать внутреннюю логическую функцию любой микросхемы из заданной базы можно путем последовательной подачи активных уровней на определенные входа микросхемы. Располагая статическими значениями логических уровней на выводах микросхемы в определенный момент времени, и учитывая изменения сигналов на входах, можно точно определить соответствие выходных сигналов функциональной модели исследуемой микросхемы. Если классифицировать типы входных выводов по функциональной принадлежности, то их можно разделить на три класса: разрешающие, информационные и стробирующие. Соответственно и активизация этих входов происходит в следующей последовательности (рис. 2):

1. Активизация разрешающих входов (момент времени Т1);

2. Запись в буферный регистр № 1 состояния логических уровней на выводах микросхемы (момент времени Т2);

3. Активизация информационных входов (момент времени Т3);

4. Запись в буферный регистр № 2 состояния логических уровней на выводах микросхемы (момент времени Т4);

5. Активизация стробирующих входов (момент времени Т5);

6. Запись в буферный регистр № 3 состояния логических уровней на выводах микросхемы (момент времени Т6);

7. Сброс активных уровней на входных выводах микросхемы (момент времени Т7).

Рисунок 2 — Последовательность подачи активных уровней на входы цифровых микросхем

Аппаратная часть СПД подключается к ЭВМ посредством любого 24-разрядного унифицированного интерфейсного модуля сопряжения (рис. 3) [6]. Структурная схема аппаратной части СПД представлена на рис. 4.

Рисунок 3 — Схема подключения аппартных узлов

Программное обеспечение СПД состоит из трех частей [7, 8]:

1. Программа, формирующая управляющий и строби-рующий код для каждого тестового набора (результатом выполнения программы является файл, содержащий десятичные коды управляющих и стробирующих воздействий);

2. Программа, непосредственно активизирующая работу СПД (результатом выполнения программы является создание временного файла, содержащего значения логических уровней на выводах исследуемой микросхемы при подаче каждого тестового воздействия);

3. Программа, анализирующая полученный на предыдущем этапе файл (результатом выполнения программы является формирование заключения о функциональном

Рисунок 4 — Структурная схема аппаратной части СПД

соответствии исследуемой микросхемы). Функциональные модели микросхем заданы в виде программных модулей продукционного типа.

Входнами данными при работе ПО, формирующего управляющий и стробирующий код, являются:

- тип микросхемы;

- количество выводов;

- тип выводов (одно- или двунаправленные);

- степень приоритетности (1 - при равнозначных входах, 2 - при наличии разрешающих, сбросовых или установочных входов, 3 - при наличии разрешающих, стро-бирующих и информационных входов);

- количество независимых элементов в корпусе микросхемы;

- номера выводов подачи питания;

- количество информационных входов;

- количество разрешающих входов;

- количество стробирующих входов.

Алгоритм формирования управляющих и синхронизирующих воздействий зависит от степени приоритетности выводов:

- 1-я степень приоритетности:

1. Ввод данных;

2. Формирование синхронизирующего кода;

3. Ввод данных;

4. Формирование синхронизирующего кода;

5. Формирование управляющего кода;

6. Запись кода в базовый файл.

- 2-я степень приоритетности:

1. Ввод данных;

2. Формирование синхронизирующего кода, активизирующего разрешающие входы;

3. Формирование синхронизирующего кода, активизирующего информационные входы;

4. Формирование управляющего кода;

5. Запись кода в базовый файл.

- 3-я степень приоритетности:

1. Ввод данных;

2. Формирование синхронизирующего кода, активизирующего разрешающие входы;

3. Формирование синхронизирующего кода, активизирующего информационные входы;

4. Формирование синхронизирующего кода, активизирующего стробирующие входы;

5. Формирование управляющего кода;

6. Запись кода в базовый файл.

Программа анализа данных производит пошаговое извлечение строк состояния сигналов на выводах из файла, сформированного на предыдущем этапе. После извлечения производится обращение к продукционной базовой модели исследуемого элемента. Если ивлечен-ные данные совпадают с данными базовой модели, то состояние сигналов на выводах считается корректным и производится извлечение следующей строки состояния. Если ивлеченные данные не совпадают с данными базовой модели, то выдается сообщение о неисправности исследуемого элемента.

Функционирование СПД ограничивается следующими факторами:

1. При соединении нескольких выходов логических элементов с открытым коллектором (монтажное ИЛИ) возможно неверное заключение об отказе проверяемого элемента, если его выход заблокирован в нулевом состоянии другим элементом;

2. При замыкании входов логических элементов на шины питания возможна неполная проверка функционирования элемента;

3. При неисправном выходном каскаде предыдущего элемента возможна неполная проверка корректного функционирования элемента;

4. При нанесенном лаковом покрытии возможен не полный контакт зонда с выводами диагностируемого элемента, что приводит к неверной проверке.

выводы

Описанный выше метод диагностики дискретных элементов цифровых модулей систем ЧПУ обладает несколькими достоинствами. Во-первых, при диагностике отдельных элементов нет необходимости выпаивать их из печатной платы. Во-вторых, нет необходимости формировать тестовые последовательности для проверки этих элементов. В-третьих, появляется возможность производить диагностику элементов цифровых модулей при

B.B. Орлов: БЛОК КЛАВИАТУРЫ ИНФОРМАЦИОННО-ИЗМЕРИТЕЛЬНОЙ СИСТЕМЫ НА БАЗЕ МИКРОКОНТРОЛЛЕРА

отсутствии принципиальных схем, что особенно актуально при диагностике зарубежных систем ЧПУ. В-четвертых, значительно снижается трудоемкость процесса диагностики и требования к квалификации инженера по наладке и испытаниям. В-пятых, метод хорошо автоматизируется при работе на ЭВМ.

ПЕРЕЧЕНЬ ССЫЛОК

1. Нефедов A.B., Савченко A.M., Феоктистов Ю.Ф. Зарубежные интегральные микросхемы для промышленной электронной аппаратуры: Справочник. - М.: Энерго-атомиздат, 1989. -288 с.

2. Фергусон Дж., Макари Л, Уилльямз П. Обслуживание микропроцессорных систем. - М.: Мир, 1989. - 336 с.

3. Горбунов В.Л., Панфилов Д.И., Преснухин Д.Л. Справочное пособие по микропроцессорам и микро-ЭВМ. -М.: Высшая школа, 1988. - 272 с.

4. Самофалов К.Г., Романкевич A.M., Валуйский В.Н. и др. Прикладная теория цифровых автоматов. - Киев.: Вища школа, 1987. - 375 с.

5. Аванесян Г.Р., Левшин В.П. Интегральные микросхемы ТТЛ, ТТЛШ: Справочник. - М.: Радио и связь, 1991. -192 с.

6. Новиков Ю.В., Калашников О.А., Гуляев С.Э. Разработка устройств сопряжения для персонального комьютера типа IBM PC. - М.: ЭКОМ, 1997. - 224 с.

7. Коффрон Дж., Лонг В. Расширение микропроцессорных систем / Пер. с англ.; Под ред. П. В. Нестерова. - М.: Машиностроение, 1987. - 320 с.

8. Зельднер Г.А. Программируем на языке Quick Basic 4.5. - М.: ABF, 1997. - 432 с.

Надшшла 14.06.2004

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Приведено onuc i ocnoeni характеристики методу при-Mycoeo'i дiагнocтuкu цифрових Modynie систем керування технологшчним устаткуванням. Poглянyтo питання про-грамнoi i аnаратнoi реалiзацii данного методу.

The article is developed to the questions of labor input reduction of the process of the technological equipment digital modules' diagnostics and to the increasing of the efficiency of the faults' search in condition of the partial uncertainty. The method of the diagnostics was designed for the solving of the problems, intended for optimization of searching for failure digital element in module of any complication and functional types.

УДК 681.58; 681.32

В. В. Орлов

БЛОК КЛАВИАТУРЫ ИНФОРМАЦИОННО-ИЗМЕРИТЕЛЬНОЙ СИСТЕМЫ НА БАЗЕ МИКРОКОНТРОЛЛЕРА

Предлагается эффективный алгоритм вычисления весовой функции нажатой клавиши, не требующий арифметических операций, и схема 16-клавишной клавиатуры с минимальным числом дополнительных элементов, использующая 5 линий пространства ввода-вывода микроконтроллера. Клавиатура конструктивно расположена на расстоянии около 300 мм от базового блока микроконтроллерной системы, которая может быть выполнена на микроконтроллерах различного типа.

ВВЕДЕНИЕ

Одной из наиболее динамично развивающихся областей современной вычислительной техники является микроконтроллерная техника. Появление на рынке микроконтроллеров с высокой производительностью, значительным объемом встроенной памяти, мощным вычислительным ядром с системой команд, ориентированной на решение задач управления и контроля, и доступными ценами обусловило их широкое применение в различных областях автоматизации и управления, в том числе и автоматизированных системах научных исследований (АСНИ). Ярким примером современных микроконтроллеров является семейство АУИ-микроконтроллеров производства фирмы «АТМЕЬ», завоевавших популярность среди разработчиков информационно-измерительных и микропроцессорных систем управления (МПСУ).

ПОСТАНОВКА ЗАДАЧИ

В интерактивных информационно-измерительных системах и МПСУ в контур управления включен интерфейс «оператор-система», основным элементом которого является клавиатура, предназначенная для ввода информации в систему. Обычно, если число клавиш не превышает 64, применяют так называемую некодирующую матричную схему, которая представляет собой простую матрицу двоичных переключателей (требуемой размерности), включенных на пересечении строк и колонок матрицы. Идентификация (кодирование) нажатой клавиши в таких клавиатурах выполняется программой. В этом случае наиболее распространенный способ вычисления весовой функции нажатой клавиши - аналитический в соответствии с выражением

Ш=ЫС + В, (1)

где Ш - весовая функция, N - количество линий возврата, С - номер линии сканирования, В - номер линии возврата.

Примером таких схем могут служить схемы приведенные в [1, 2] и других публикациях по применению микроконтроллеров. Очевидным недостатком такого способа вычисления весовой функции является применение арифметических операций, и в особенности операции умножения, поскольку микроконтроллеры, как правило,

i Надоели баннеры? Вы всегда можете отключить рекламу.