Научная статья на тему 'Моделирование ультразвукового пьезокерамического преобразователя с использованием VHDL-AMS'

Моделирование ультразвукового пьезокерамического преобразователя с использованием VHDL-AMS Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
328
75
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Guelaz Rachid, Kourtiche Djilali, Nadi Mustapha, Roth Patrice

This paper presents an ultrasonic transducer modeling with VHDL-AMS standard (Very High speed integrated circuits Hardware Description Language Analog and Mixed Signal) referenced IEEE 1076.1, integrated in a global measurement cell modeling dedicated to biological tissues ultrasound characterization. Usual modeling of ultrasonic transducer are based on electrical analogy and are not simulated in the global measurement environment which must include non linear medium behavior and electronic coupling. The ultrasonic transducer modeling proposed is simulated with the nonlinear acoustic load and electronic excitation. It was composed by a first element with a piezoceramic ring structure vibrating at a frequency of 2,25 MHz in thickness mode. The second element is stuck into the center of the first. This geometrical form is a disc vibrating at 4,5 MHz in thickness mode too. Nonlinear B/A parameter is used to characterize medium with a comparative method. The measurement cell is composed by a piezoceramic transducer which is implemented with the Redwood's electric scheme and a metallic reflector into a Plexiglas structure. The analyzed medium is placed between the transducer and a metallic reflector. Medium is modeled with take into account the nonlinear propagation with B/A parameter. The usual transmission line model has been modified to take into account the nonlinear propagation for a one dimensional wave. Simulations of the transducer pulse response and impedance show a VHDL-AMS model in good agreement with measurement. Results simulation of the measurement cell modeling are in agreement with well known B/A values of biological mediums.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Моделирование ультразвукового пьезокерамического преобразователя с использованием VHDL-AMS»

Electronic Journal «Technical Acoustics» http://webcenter.ru/~eeaa/ejta/

2005, 10

Rachid Guelaz*, Djilali Kourtiche, Mustapha Nadi, Patrice Roth

Nancy Electronic Instrumentation Laboratory (LIEN), Henri Poincare University of Nancy, B.P.239, 54506 Vandoeuvre, Les Nancy, France

Double element ultrasonic piezoceramic transducer modeling with VHDL-AMS: application to B/A nonlinear ultrasonic parameter measurement in pulse-echo mode

Received 18.02.2005, published 27.04.2005

This paper presents an ultrasonic transducer modeling with VHDL-AMS standard (Very High speed integrated circuits Hardware Description Language -Analog and Mixed Signal) referenced IEEE 1076.1, integrated in a global measurement cell modeling dedicated to biological tissues ultrasound characterization. Usual modeling of ultrasonic transducer are based on electrical analogy and are not simulated in the global measurement environment which must include non linear medium behavior and electronic coupling. The ultrasonic transducer modeling proposed is simulated with the nonlinear acoustic load and electronic excitation. It was composed by a first element with a piezoceramic ring structure vibrating at a frequency of 2,25 MHz in thickness mode. The second element is stuck into the center of the first. This geometrical form is a disc vibrating at 4,5 MHz in thickness mode too. Nonlinear B/A parameter is used to characterize medium with a comparative method. The measurement cell is composed by a piezoceramic transducer which is implemented with the Redwood’s electric scheme and a metallic reflector into a Plexiglas structure. The analyzed medium is placed between the transducer and a metallic reflector. Medium is modeled with take into account the nonlinear propagation with B/A parameter. The usual transmission line model has been modified to take into account the nonlinear propagation for a one dimensional wave. Simulations of the transducer pulse response and impedance show a VHDL-AMS model in good agreement with measurement. Results simulation of the measurement cell modeling are in agreement with well known B/A values of biological mediums.

INTRODUCTION

Prediction of ultrasonic systems performance need to use simulation tools adapted to transducers methodology conception. For MEMS design (Micro Electro-Mechanical System) used in ultrasonic imaging applications, it’s a new opportunity offered to developers with VHDL-AMS language standard IEEE 1076.1. Correction and optimization could be done with VHDL-AMS extraction of the MEMS model. Multiphysic aspect integrated in VHDL-AMS [1, 2] gives serious advantages in a complicated system simulation composed by electronic, ultrasonic transducers and mediums. The usual tool to simulate ultrasonic transducer is PSPICE software. Different implementation of piezoelectric transducers could be found in [3, 4]. Advantage with PSPICE is the combination of the electronic behavior for the emission and reception parts.

* Corresponding author, e-mail: rachid.guelaz@lien.uhp-nancy.fr

In ultrasound domain, nonlinearities appear with the wave form deformation through the medium. This deformation generates harmonic frequencies (2/0, 3/0,...) in the spectral wave representation. The use of this harmonics in ultrasound imaging gives improvement in images quality [5]. The ultrasound nonlinear parameter B/A1 which is a characteristic of each medium could be measured with two different methods: thermodynamic [6, 7] and finite amplitude methods [8, 9]. Thermodynamic method is most precise but it requires complex equipment which in vivo (biological mediums) is not practical. Less precise, the second one is more adapted to in vivo measurements.

To obtain more information about the medium analysis we developed a measurement system based on a nonlinear medium excitation by an ultrasonic transducer vibrating at a fixed frequency f0. A steel reflector placed at a distance of 30 mm reflects the ultrasonic wave. The disc element vibrating at 2/0 received the echo of the emitted wave and makes it possible to analyze the acoustic wave in the propagation axis of the transmitting source. The electric signal analysis of the echo wave makes it possible to identify the electrical signal at its fundamental frequency and its second harmonic by a fast Fourier transform. Parameter B/A of different biological mediums is estimated by a comparative method [10] based on a finite amplitude method with water like reference medium. To implement the ultrasonic transducer into VHDL-AMS language [11], we used a piezoelectric transducer model developed by Redwood [12]. We have implemented the transducer with two different elements. The first element is a piezoceramic disc vibrating a central frequency noted f0, and the second one is a piezoceramic ring vibrating at the twice frequency 2/0. The piezoceramic material is a PZT (zircono-titanate of lead) P1 88 type. We don’t consider piezoelectric losses as mentioned in works of Sherrit et al. [13]. Various frequency model could be found like Mason [14] or Krimholtz et al. [15] models but need mathematical transformation to obtain the time response.

Several systems modeling implemented into SPICE and PSPICE software use an acoustic model medium assimilated to an electric transmission line [16, 17] and do not integrate nonlinear propagation aspect. Our VHDL-AMS model based on theory of electric transmission line integrates the nonlinear B/A parameter [18]. We proceed by a first modeling of a classical transmission line with VHDL-AMS. Next we integrate into the acoustic transmitted wave, the Burgers solution [19] equation for a sinusoidal case. Attenuation and diffraction effects are neglected because of the small distance between the two transducers. Nonlinear acoustic propagation of a one dimensional wave is characterized by a temporal wave form deformation and apparition of harmonics in the spectral representation for a sinusoidal incident wave.

The parameter B/A obtained in simulation shows a measurement system modeling adapted to the ultrasonic medium characterization study. We compared the simulations results with practical measurement which are well known in many precedent works.

1 B/A is the ratio between the second (B) and the first (A) Taylor series coefficients of the analytical expression of the pressure variation in liquids.

1. THEORETICAL ASPECT OF PIEZOCERAMIC TRANSDUCER

Piezoelectric ceramics are frequently used for the ultrasonic transducers because they have a significant electromechanical coupling coefficient and stable physical characteristics. In our application, transducer is composed by a disc and a ring without losses vibrating in thickness mode presented by the figure 1.

Force applied: F1

Zb = Impedance of the back medium

Force applied: F2

Zav = Impedance of the transmission medium

Ring Nv

I Disc 1

z

Figure 1. Ultrasonic piezoceramic double element transducer vibrating in thickness mode

1.1. Piezoceramic disc theory

Each element is governed by piezoelectricity equations which behavior could be implemented into a matrix form where forces, velocities, voltage and current appear. For the disc two equations characterize the one-dimensional piezoelectricity of ceramic which are derived from Gibb’s potential using Taylor series expansion. The stress T3 applied on the two faces of the piezoelectric disc vibrating in thickness leads to the reverse piezoelectricity:

t, = CD s, - h3, D3, (1)

where C33 is the elasticity modulus with null field or constant displacement, S3 is the relative deformation. h,3 is a piezoelectricity constant and D3 is the electric displacement field given by

D, = I /(jwAd ^ (2)

where I is the electrical current into the disk, Ad is the disc area and w is the pulsation.

The direct piezoelectricity formula is described by

E3 = -h33S3 - $3D3, (3)

where E3 =V3 / e is the electric field, V3 is the input voltage and e is the ceramic thickness.

The equations (1) and (3) with considering stress applied on the ceramic faces lead to a transfer matrix form (4) which describes the global behaviour between the electric excitation port and the two acoustic ports [20].

Fi

F2

V,

= - j

ZTAd /

ZTAdl

tan w

V \l

f

sin w I

V V

h 33

w

P

CD

P

C

33

ZTAd /

ZTAd /

sin

tan

h

w

w

CP3D3

P

C

33

33

w

33

w

h

33

w

1

wC 0

(4)

where v1 and v2 are the acoustic particles velocities at the front and the back faces of the disk, w is the pulsation, F1 and F2 are the acoustic forces at the transducer surfaces, p is the material density, ZT is the acoustic impedance of ceramic material and C0 is the capacitance value of the disk, I3 is the electrical current.

1.2. Piezoceramic ring theory

The ring element is described in [21] and presented by figure 2. Forces and velocities noted F and vi are present on each ring faces.

We consider r and r2 respectively the internal and the external radius and the global electrical displacement field D is expressed by

D =1 /(jwAr), (5)

where I is the electrical current into the ring and Ar = n(r22 - r12) is the ring effective area.

In our study we consider F3 , v3 and F4 , v4 null because the ring is stuck into a Plexiglas structure and the central disk vibrate only in thickness mode. So the global behavior of the ring is the same that the disk behavior. The difference is the effective surface Ad and Ar of each element in contact with the acoustic load. The ring behavior is described by

e

e

v

e

e

v

2

D

D

3

f2

V3

= - J

ZTAJ tan

ZTA/ sin

h

33

w

f I P ^

w ------we

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

V iC3D3 y

f I P ^

w ------We

A/c 333 y

ZTAr sin

ZTAr tan

v

h

33

w

f I P ^ w ------we

V iC3D3 y

wlp

33 y

h 33

w r

v

h "33 v

w

1

1

wC,

(6)

2. THE TRANSDUCER MODELING

The integration of the transfer matrix (4) and (6) into an electric scheme is given by the Redwood electrical model represented by figure 3 for one element.

F1

Figure 3. Redwood electric model

The model is divided in two parts. First is the electrical port which is composed by the capacitors C0 and -C0 that represent the capacitance motional effect. The second part is composed by the two acoustic ports. One is in contact with the back medium and the other is in contact with the propagation medium. Ceramic layer is represented by an electric propagation line. An ideal electro-acoustic transformer is integrated with a ratio corresponding to the transducer characteristic parameter. Transducer modeling with VHDL-AMS language is based on writing of the different equations of the Redwood scheme elements. Each circuit branches of the circuit (Figure 3) is transposed in VHDL-AMS code.

The VHDL-AMS model of the transducer is divided in three parts. First is the declaration of the entity which is composed by the physical characteristics of the transducer and the different Terminals used to connect it to electronic stage and acoustic load. Each Terminals

are depends of the physical nature of the relation to be implemented to describe the element. Syntaxes noted “Electrical” and “Kinemativ_v” are respectively used to describe electrical and ultrasonic elements nature. The third part of the writing model is the declaration the Architecture which established the physic laws in correspondence to the element mathematical relation between each terminals.

Redwood model VHDL-AMS code:

ENTITY Redwood 1S GENERIC (Co,kt,Zo,td: real);

PORT (TERMINAL p, m : electrical;

TERMINAL til, t22, km: kinematic_v);

END ENTITY Redwood;

ARCHITECTURE bhv OF Redwood 1S terminal pi : electrical; terminal ti,tix,t2x : kinematicv;

QUANTITYvl across il throughp TO m;

QUANTITY v2 across i2 through p TO pi;

QUANTITY vte across ite through pi TO m;

QUANTITY pti across uti through ti TO km;

BEGIN

11 == Co * vi 'dot;

12 == -Co * v2'dot; pti == kt * vte;

uti == -ite/kt;

ceramic : entity work.AcousticLayer (bhv) generic map (Zo,td) port map (tii, ti,t22, ti) ; END ARCHITECTURE bhv;

AcousticLayer is the ultrasonic propagation in the ceramic material, developed in 4.3.

3. THE NONLINEAR PROPAGATION

3.1. Theory

The propagation equation in nonlinear acoustic medium is based on the Burgers formulation [19]. This equation is true if we consider not attenuation and not diffraction. The plane wave propagation in a non dissipative medium (without losses) is described below:

d 2 u dT = (7)

dz c0 or

du 3 du

d

0

where c0 is the sound speed, u is the particles velocity and t = t - z / c0 with t the time, z is the axis propagation. 3 = 1 + 0.5 B/A is the nonlinear parameter in liquid mediums.

In the case of sinusoidal incident wave, the solution of the equation is given by

f z ')

u (z, t) = sin w t-------------------. (8)

I c0 +3u (^ t) y

The shock front appearance in the wave form is characterized by a coefficient noted a . More a is near value of 1,0 and more a pick wave could be observed in the wave form. So the relation (8) began:

• ( wal

u (z, t ) = sin wt - ---------------- , (9)

^ C0 + Pu ( Z t) J

where l = 1/((1+0,5B/A)kM), k = w / c0 with w the pulsation of the wave in the beginning, M = U0/ c0 is the Mach number, U0 is the amplitude of the ultrasonic source and a = /3wU0 z / C2 is the coefficient of the shock formation distance.

3.2. Wave form evolution simulation

The temporal profile deformation of an ultrasonic wave during its propagation in a nonlinear medium generates the appearance of harmonics in its spectral representation. We study the case where the wave function is sin(wt) type. Two mediums are analyzed: water with B/A = 5,0 and ethanol with B/A = 9,9 because of his nonlinearity particular value. The acoustic characteristics are recalled in table 1.

Table 1. Acoustic characteristics of water and ethanol medium

Medium Acoustic Impedance Acoustic velocity B/A

Water 1,5-10+6 kgm'V1 1509 m/s 5,0

Ethanol 0,91-10+6 kgm'V1 1158 m/s 9,9

The relation (6) is implemented by a recurrent method in mathematical software like this:

(t )=

0

sin

wtdt -

w a l

1 +

B u (t - dt) A 2c0

if t = 0

(10)

J J

where dt is the temporal discretization step.

The acoustic source amplitude pressure is normalized to 1 hPa. According to the medium and the distance to the acoustic source, the profile of the acoustic wave becomes deformed. Figure 4 shows the temporal evolution of the acoustic wave with harmonics appearance in the spectral representation for water and ethanol in figure 5.

C

0

Pressure (hPa) „.

Water

Figure 4. Pressure temporal evolution for water and ethanol at a distance of 30 mm the

acoustic sinusoidal source

Magnitude

1.250m

1.000m

0.750m

0.500m

0.250m

0

Water

Magnitude

2 3

Frequency (MHz)

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

5 6

Ethanol

Frequency (MHz)

Figure 5. Fast Fourier transform of the temporal signal

More the distance to the source is high and more the nonlinear aspect is highlighted, in particular with the increase of the second harmonic amplitude.

3.3. Nonlinear medium model for a sinusoidal excitation case

The implementation method consists in modify the propagation line model [22] presented here:

ENTITY AcousticLayer IS GENERIC (Zo,Td : REAL);

PORT (TERMINAL p1,m1,p2,m2 : Kinematic_v);

END AcousticLayer;

ARCHITECTURE bhv OF AcousticLayer IS terminal t11, t22 : Kinematic_v;

QUANTITYFi ACROSSp1 TO m1;

QUANTITY Ft ACROSSp2 TO m2;

QUANTITYFii ACROSS uiz THROUGH t11 TO m1;

QUANTITYFiz ACROSS ui THROUGH t11 TOp1;

QUANTITYFtz ACROSS ut THROUGH t22 TOp2;

QUANTITYFtt ACROSS utz THROUGH t22 TO m2;

4

BEGIN

Ftt == Fi'DELAYED(Td) - Ftz;

Fii == Ft'DELAYED(Td) - Fiz;

Fiz == (uiz + utz'DELAYED(Td))*Zo/2.0;

Ftz == (utz + uiz'DELAYED(Td))*Zo/2.0;

END bhv;

Nonlinear acoustic layer VHDL-AMS code is obtained with including in the transmitted pressure the recurrent aspect established in theory (relation 10). The acoustic source amplitude is supposed to be 1 hPa in order to compare the behaviors of various transducers under the same conditions. The nonlinear acoustic layer model for a sinusoidal excitation is then:

ENTITY Nonlinearlayer IS

GENERIC (Zo, Td, freq, co, BsurA, sig, l, dt: REAL);

PORT (TERMINALp1,m1,p2,m2 : Kinematic_v);

END Nonlinearlayer;

ARCHITECTURE bhv OF Nonlinearlayer IS terminal t11,t22 : Kinematic_v;

QUANTITY Fi ACROSS pi TO ml;

QUANTITY Ft ACROSSp2 TO m2;

QUANTITY Fii ACROSS uii THROUGH til TO ml;

QUANTITY Fiz ACROSS uiz THROUGH til TO pi;

QUANTITY Ftz ACROSS utz THROUGH t22 TO p2;

QUANTITY Ftt ACROSS utt THROUGH t22 TO m2;

QUANTITYFbelow : Force;

QUANTITYF: Force;

BEGIN

if now < Dt USE Fbelow == F;

F == sin(2.0*math_pi*freq*dt - freq*2.0*math_pi*sig*l/(co));

ELSE

Fbelow == F'DELAYED(dt);

F == sin(2.0*math_pi*freq*now -freq*2.0*math_pi*sig*l/(co*(1.0 + (1.0+BsurA/2.0) * (Fbelow)/co)));

END USE; if now < Td USE Ftt == 0.0;

Fii == - Fiz;

Fiz == uiz *Zo/2.0;

Ftz == utz *Zo/2.0;

ELSE

Ftt == F'DELAYED(Td) - Ftz;

Fii == Ft'DELAYED(Td) - Fiz;

Fiz == (uiz + utz'DELAYED(Td))*Zo/2.0;

Ftz == (utz + uiz'DELAYED(Td))*Zo/2.0;

End USE;

END ARCHITECTURE bhv;

4. MEASUREMENT CELL MODEL

The measurement system (see figure 6) is based on the estimation of the nonlinear ultrasonic parameter B/A which could be used to characterize liquid or biological mediums. A nonlinear medium is excited by an ultrasonic transducer vibrating at a fixed frequency f0. A transducer vibrating at 2f is placed at a distance noted d at the end of the measuring cell and makes it possible to analyze the acoustic wave in the propagation axis of the transmitting source. The electric signal analysis of the transducer in reception makes it possible to identify the acoustic signal at its fundamental frequency and its second harmonic by a fast Fourier transform. Parameter B/A is estimated by a comparative method [10] with water like reference medium and ethanol like analyzed medium, see table 1 for acoustic characteristics.

Figure 6. Scheme of the ultrasonic cell characterization

In figure 6, Ve(t) and Vs(t) are the electrical signals respectively at the emitter and the receiver transducers. |Ve(f)| and |Vs(f)| are the Ve(t) and Vs(t) spectral representations. Po is the initial pressure generated by the emitter. P1 and P2 represent the acoustic pressures used to identify the fundamental and the second harmonic voltage amplitude Vs1 and Vs2. The nonlinear medium modeling is next coupling in a global scheme (figure 7) with the emitter and the receiver transducer implemented with the Redwood’s scheme.

Figure 7. Measurement cell scheme to be implemented in VHDL-AMS language

The associated test-bench with VHDL-AMS language began:

ENTITY MeasurementCell IS END Measurement Cell;

ARCHITECTURE structure OF MeasurementCell IS TERMINAL n1,n2,n9 : ELECTRICAL;

TERMINAL n3,n4,n5,n8,n10,n11 : kinematic_v;

CONSTANT A : real : = 132.73e-3 CONSTANT e: real : = 1.0e-3;

CONSTANT e2: real : = 0.5e-3;

CONSTANT Va : real : = 4530.0;

CONSTANT kt: real : = 0.49;

CONSTANTepsi0 :real:= 8.8542e-12;

CONSTANT epsi33 :real:= 650.0;

CONSTANT ro :real:= 3300.0;

CONSTANTh :real: = kt*Va*sqrt(ro/(epsi0*epsi33));

CONSTANT Co : real : = A* epsi0*epsi33/e;

CONSTANT Co2 : real : = A* epsi0*epsi33/e2;

CONSTANTK: real := h*Co;

CONSTANTK2 : real := h*Co2;

CONSTANT ZT: real := 34.9e6;

CONSTANTZfront: real := 1.5e6;

CONSTANT Zback : real := 445.0;

CONSTANT ZMetal: real := 40.6e6;

QUANTITY vinput across ie through n1 to electricalground;

QUANTITY vac : real spectrum 1.0,0.0;

BEGIN vinput == vac;

R1 : entity Resistor(bhv) generic map (50.0) port map (n1, n2);

T1 : entity Redwood(bhv) generic map(Co, K, A*ZT, e/Va) port map(n2, electrical ground, n3, n4, kinematic_v_ground,);

Somme : entity Somme(bhv) generic map (1.0) port map (n3, n11, n10) ;

Medium : entity Nonlinearlayer(bhv) generic map (1.5e6, 10.0e-6,fo,1500.0,5.0,0.9,0.045,1.0e-9) port map( n10, kinematic_v_ground, n5, kinematic_v_ground);

Reflector : entity Resiskinematic(bhv) generic map (A*ZMetal) port map (n5, kinematic_v_ground );

back : entity Resiskinematic(bhv) generic map (A*Zback) port map (n4, hnematic_v_ground); back2 : entity Resiskinematic(bhv) generic map (A*Zback) port map (n8, kinematic_v_ground); T2 : entity Redwood(bhv) generic map(Co2, K2, A*ZT, e2/Va) port map(n9, electrical_ground, n11, n8, kinematic_v_ground,);

RScope : entity Resistor (bhv) generic map (1.0e6) port map (n9, ground);

Cscope : entity Capacitor (bhv) generic map (13.0e-12)port map (n9, ground);

END structure;

5. THEORETICAL ESTIMATION OF THE NONLINEAR B/A PARAMETER

The method to measure the B/A parameter consist to simulate firstly the fundamental and the second harmonic amplitude measurement with the acoustic parameter of the reference medium (water). Next we simulate the fundamental and the second harmonic amplitude measurement of the analyzed medium with its acoustic parameters too. The reformulation of B/A parameter thanks to theoretical simulation is given for a comparative method [10]. This method requires to analyze the frequency spectrum of a medium taken as reference such as water for our example whose parameter B/A is known, and to carry out following calculation:

where the indices r and x respectively indicate the reference and the analyzed medium.

6. SIMULATION RESULTS

6.1. Transducer frequency characterization

The transducer response study is essential to predict the sensitivity of the system for the various analyzed mediums. The studied transducer is produced with PZT ceramic of P1 88 with characteristics are recalled in table 2.

(11)

Table 2. Transducer Acoustic Characteristics

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Parameters Quantity Ring Disc

fo Frequency resonance 2,25 MHz 4,5 MHz

A Area 132,73 mm2 132,73 mm2

E Thickness 1 mm 0,5 mm

Zt Acoustic impedance 34,9 kgm-2s-1 34,9 kgm-2s-1

co Sound speed 4530 m/s 4530 m/s

Co Capacity of the ceramic disk 1109,8 pF 2910 pF

E33 Dielectric constant 650,0 650,0

kt Thickness coupling factor 0,49 0,49

h33 Piezoelectric constant 1,49-10+9 1,49-10+9

To perform the transducer frequency analysis, we used a VHDL-AMS Testbench where a frequential source is connected to the electrical input. Figure 8 shows respectively the results obtained with the acoustic value (see table 1), of the emitter element vibrating at 2,25 MHz and the receiver element vibrating at 4,5 MHz. This result shows a resonance frequency at 2,26 Mhz and 4,51 Mhz that corresponding typically to the frequency behaviour of a ultrasonic piezoceramic transducer.

Ethanol

Water

F (MHz)

F (MHz)

F (MHz)

F (MHz)

Figure 8. Transducer impedance modulus Z and phase of the emitter at 2,25 MHz and the

receiver vibrating at 4,5 MHz

6.2. Transducers pulse response

We present in this example the simulation results with VHDL-AMS. The motor engine used for VHDL-AMS simulation is ADMS v3.0.2.1 of Mentor Graphics company. Results are compared with a transducer in vitro measurement. We have simulated the ring element response which the physical characteristics are given in table 2. The negative pulse voltage is - 100 Volts during 0,222 |is and we consider a 50,0 Ohms resistor between the electrical transducer input and the voltage source. The time 0,222 |is corresponds to 1 /(2/0) where f0 is the resonance frequency of the transducer. The study is done on time response and its spectral frequency analysis. The results are presented in figure 9. The simulated voltage is V3 the electrical transducer port.

t (s) F (Hz)

Figure 9. Comparison between the measure, the time response of VHDL-AMS model, and

their Fourier transform

The simulations with VHDL-AMS for the pulse response of the ring element show good agreement with the measurement obtained with a real transducer. The picks voltage obtained with VHDL-AMS (- 4,0 V) is near to the measured one (- 3,85 V).

6.3. Measurement cell simulation

The global measurement cell modeling implemented with VHDL-AMS writing of the figure 7 is simulated. The amplitude of the emitter transducer is fixed at 1 Volt with a frequency of 2,25 MHz. The simulator temporal step used for discretization is 10 ns. The electric response issue to the receiver transducers is analyzed by a Fast Fourier transform with rectangular window for 10.10-6 s. Biological mediums analyzed in simulation are compared with the measurement cell results for liquid mediums like water, blood and milk. B/A parameter of human fat tissue and liver is considered well known in works [6, 7, 8, 9]. Table 3 gives acoustic characteristic of simulated mediums.

Table 3. Medium Biologic Acoustic Characteristics

Medium Acoustic impedance, kgm'V1 Sound speed, m/s B/A

Water 1,5 1509 5,0

Blood 1,678 1586 6,0

Human fat tissue 1,376 1445 10,9

These values are next used to estimate B/A parameter with the relation (11) and compared to B/A parameter obtained with in vitro measurements (table 3). Figure 10 shows results obtained.

B/A ^Simulation results Bln vitro Measurements 12

10

8

6

4

2

0

9 ,54 10 ,9

6,087 6 6 ■ 6,33 6 ,54 6,271 5,9

■ ■ It

■ ■ ■

■ ■ ■

Blood

Human fat tissue

Liver

Milk

Figure 10. B/A parameter obtained in simulation compared to measurements value

B/A estimation in simulation shows that we can characterize biological mediums with a sufficient precision between different mediums. For milk and blood we must also take into account the measurement of the acoustic celerity to differentiate the two mediums. For biological tissues like human fat and liver we obtain a great sensibility for the B/A estimation so we can easily predict the biological nature of the medium for this two cases.

CONCLUSION

A new approach of an ultrasonic transducer modeling integrated in a nonlinear measurement system is presented in this paper. The transducer response obtained in simulation shows a good correlation with measurement in water and ethanol. Integration of nonlinear ultrasound aspect in simulation is also a new approach here. Usual medium modelings are based on transmission line theory and our medium model implements the nonlinear propagation phenomenon and permits us to analyze harmonic generation for a sinusoidal excitation case. B/A obtain in simulation is in good agreement with thermodynamic experimental results. B/A parameter used for simulate mediums have been obtain with a precision which depends of measurement methodology for example with blood we can find a B/A of 6,0 with thermodynamic method [6] and 7,3 with finite amplitude method [6]. In our works, we have choice thermodynamic method like reference because of more precise measurements obtain with in vitro conditions. Multiphysic modeling and simulation applied to ultrasonic systems analysis is clearly studied with this approach and show that VHDL-AMS language is an appropriate tool dedicated to the estimation of the real performance of our measurement system.

REFERENCES

1. Y. Herve. VHDL-AMS: applications et enjeux industriels. Edition Dunod. 2002.

2. Vachoux, Alain. Analog and Mixed-Signal Extensions to VHDL. Analog Integrated Circuits and Signal Processing Journal in Kluwer Academic Publishers, 1998, v. 16, 185200.

3. S. A. Morris and C. G. Hutchens. Implementation of Mason’s model on circuit analysis programs. IEEE Trans. Ultrason. Ferroelect. Freq. Contr., 1986, v. 33, 295-298.

4. W. M. Leach, Jr. Controlled-source analogous circuits and SPICE models for piezoelectric transducers. IEEE Trans. Ultrason. Ferroelect. Freq. Contr., 1984, v. 41, 60-66.

5. T. Christopher. Experimental investigation of finite amplitude distortion-based, second harmonic pulse echo ultrasonic imaging. IEEE Trans. Ultrason. Ferroelect. Freq. Contr., 1998, v. 45, 158-162.

6. X. F. Gong, Z. M. Zhu, T. Shi, J. Huang. Determination of the acoustic nonlinarity parameter in biological media using FAIS and ITD methods. J. Acoust. Soc. Am., 1989, v. 86, 1-5.

7. C. M. Seghal, R. C. Bahn, J. F. Geenleaf. Measurement of the acoustic nonlinearity parameter B/A in human tissues by a thermodynamic method. J. Acoust. Soc. Am., 1984, v. 76, 1023-1029.

8. L. Bjorno. Characterization of biological media by means of their non-linearity. Ultrasonics, 1986, v. 24.

9. S. Saito. Measurement of the acoustic nonlinearity parameter in liquid media using focused ultrasound. J. Acoust. Soc. Am., 1993, v. 93, 162-172.

10. D. Kourtiche, L. Allies, A. Chitnalah and M. Nadi. Harmonic propagation of finite amplitude sound beams: comparative method in pulse echo measurement of nonlinear B/A parameter. Measurement Science and technology, 2001, v. 12, 1990-1995.

11. R. Guelaz, D. Kourtiche, Y. Herve and M. Nadi. Ultrasonic piezoceramic transducer modeling with VDL-AMS IEEE 1076.1. in Proc. IEEE Sensors, 2004.

12. M. Redwood. Transient performance of a piezoelectric transducer. J. Acoust. Soc. Amer., 1961, v. 33, 527-536.

13. S. Sherrit, S. P. Leary, B. P. Dolgin and Y. Bar-Cohen. Comparison of the Mason and KLM equivalent circuits for piezoelectric resonators in the thickness mode. in Proc. IEEE Ultrasonics Symposium, 1999, v. 2, 921-926.

14. W. P. Mason. Electromechanical transducers and wave filters. Van Nostrand, 2nd ed. New York, 1942.

15. R. Krimholtz, D. A. Leedom and G. L. Matthei. New equivalent circuits for elementary piezoelectric transducers. Electron. Lett., 1970, v. 6, 398-399.

16. C. G. Hutchens and S. A. Morris. A three port model for thickness mode transducers using SPICE II. IEEE Ultrasonics Symposium, 1984, 897-902.

17. E. Maione, P. Tortoli, G. Lypacewicz, A. Nowicki, J. M. Reid and L. Fellow. PSPICE modelling of ultrasound transducers: comparison of software models to experiment. IEEE Ultrason., Ferroelect., Freq. Contr., 1999, v. 46, 399-406.

18. R. Guelaz, D. Kourtiche and M. Nadi. B/A parameter modelling with VHDL-AMS for a compared method measurement. in Proceedings of the Eleventh International Congress on sound and vibration, 2004.

19. J. M. Burgers. A mathematical model illustrating the theory of turbulence. Advances in applied mechanics, 1948, v. 1, 171-199.

20. R. Guelaz, D. Kourtiche, M. Nadi. A behavioral description with VHDL-AMS of a piezoceramic ultrasound transducer based on the Redwood's model. in Proceedings of FDL’03: Forum on Specification and Design Languages, 2003, 32-43.

21. Brissaud M. Characterization of piezoceramics. IEEE Trans. Ultrason., Ferroelect., Freq. Control. 1991, v. 38, 603-617.

22. R. Guelaz, D. Kourtiche, Y. Herve, M. Nadi. Modeling of the ultrasonic nonlinear propagation with VHDL-AMS. in Proceedings of FDL’04: Forum on Specification and Design Languages, 2004.

i Надоели баннеры? Вы всегда можете отключить рекламу.