Научная статья на тему 'Методологические аспекты и инструментальные средства автоматизированного проектирования функционально-ориентированных микроконтроллеров для встраиваемых приложений'

Методологические аспекты и инструментальные средства автоматизированного проектирования функционально-ориентированных микроконтроллеров для встраиваемых приложений Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
264
36
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ФУНКЦИОНАЛЬНО-ОРИЕНТИРОВАННЫЙ МИКРОКОНТРОЛЛЕР / FUNCTION-ORIENTED MICROCONTROLLER / ВСТРАИВАЕМАЯ СИСТЕМА УПРАВЛЕНИЯ / EMBEDDED CONTROL SYSTEM / СИСТЕМА АВТОМАТИЗИРОВАННОГО ПРОЕКТИРОВАНИЯ / ПРОГРАММИРУЕМАЯ ЛОГИЧЕСКАЯ СХЕМА / CAD-SYSTEM / PLD-CIRCUIT / МИКРОКОНТРОЛЛЕР / MICROCONTROLLER

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Васильев Алексей Евгеньевич, До Суан Тьен, Кабесас Тапиа Диего Фернандо, Садин Ярослав Дмитриевич, Донцова Анастасия Васильевна

Предложена классификация функционально-ориентированных микроконтроллеров, приведены примеры устройств различных классов. Предложены новые подходы к разработке аппаратного обеспечения встраиваемых микроконтроллерных устройств, обеспечивающие снижение трудоемкости проектирования систем управления на их основе и улучшение показателей качества функционирования таких систем по сравнению с известными решениями. Существенная часть статьи отводится практической реализации инструментальных комплексов, предоставляющей возможность их применения в научно-исследовательской и учебной деятельности.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Васильев Алексей Евгеньевич, До Суан Тьен, Кабесас Тапиа Диего Фернандо, Садин Ярослав Дмитриевич, Донцова Анастасия Васильевна

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Methodological aspects and CAD tools for function-oriented embedded microcontrollers

Classification and examples of function-oriented microcontrollers are described. New effective approach to design such devices on the basis of VLSI is proposed. Realization of CAD tools and function-oriented microcontrollers for science research and teaching is described.

Текст научной работы на тему «Методологические аспекты и инструментальные средства автоматизированного проектирования функционально-ориентированных микроконтроллеров для встраиваемых приложений»



Электроника, технологии производства материалов электронной техники

УДК 004.383.8, 004.384, 004.896

А.Е. Васильев1, До Суан Тьен2 Д. Кабесас3, ЯД. Садин4, А.В. Донцова5 ',3-5 Санкт-Петербург, Россия;2 Ханой, Вьетнам МЕТОДОЛОГИЧЕСКИЕ АСПЕКТЫ И ИНСТРУМЕНТАЛЬНЫЕ СРЕДСТВА АВТОМАТИЗИРОВАННОГО ПРОЕКТИРОВАНИЯ ФУНКЦИОНАЛЬНО-ОРИЕНТИРОВАННЫХ МИКРОКОНТРОЛЛЕРОВ ДЛЯ ВСТРАИВАЕМЫХ ПРИЛОЖЕНИЙ

A.E. Vasiliev1, В6 Xuan Tiin2 D. Cabezas3, Ya.D. Sadin4, A.V. Dontsova5 ',3-5 St.-Petersburg, Russia;2 Hanoi, Vietnam

METHODOLOGICAL ASPECTS AND CAD TOOLS FOR FUNCTION-ORIENTED EMBEDDED MICROCONTROLLERS

Предложена классификация функционально-ориентированных микроконтроллеров, приведены примеры устройств различных классов. Предложены новые подходы к разработке аппаратного обеспечения встраиваемых микроконтроллерных устройств, обеспечивающие снижение трудоемкости проектирования систем управления на их основе и улучшение показателей качества функционирования таких систем по сравнению с известными решениями. Существенная часть статьи отводится практической реализации инструментальных комплексов, предоставляющей возможность их применения в научно-исследовательской и учебной деятельности.

ФУНКЦИОНАЛЬНО-ОРИЕНТИРОВАННЫЙ МИКРОКОНТРОЛЛЕР. ВСТРАИВАЕМАЯ СИСТЕМА УПРАВЛЕНИЯ. СИСТЕМА АВТОМАТИЗИРОВАННОГО ПРОЕКТИРОВАНИЯ. ПРОГРАММИРУЕМАЯ ЛОГИЧЕСКАЯ СХЕМА. МИКРОКОНТРОЛЛЕР.

Classification and examples of function-oriented microcontrollers are described. New effective approach to design such devices on the basis of VLSI is proposed. Realization of CAD tools and function-oriented microcontrollers for science research and teaching is described.

FUNCTION-ORIENTED MICROCONTROLLER. EMBEDDED CONTROL SYSTEM. CAD-SYSTEM. PLD-CIRCUIT. MICROCONTROLLER.

В практике реализации встраиваемых микроконтроллерных систем управления все большую значимость и развитие приобретает тематика т. н. функционально-ориентированных контроллеров (или функционально-ориентированных процессоров). Функционально-ориентированные процессоры (контроллеры) (далее - ФОП, ФОК) представляют собой специализированные вычислители, содержащие архитектурные, алгоритмические, схемотехнические и иные решения, направленные на эффективное (в смысле миними-

зации трудозатрат разработчика целевой задачи, времени выполнения алгоритма, затрат памяти и др.) решение относительно узкого (специального) класса задач управления [1]. Несмотря на продолжительную историю существования этих терминов, активный интерес к данной области проявляется именно в последние годы благодаря новым технологическим возможностям микросхемотехнического проектирования и производства микросхем с высокой степенью интеграции.

Актуальность развития данной проблематики

обусловлена действием ряда факторов (в т. ч. экономических), в совокупности приводящих к тому, что во многих технических приложениях требуется использование максимально оптимизированных (в указанных выше смыслах) решений, при этом многообразие функциональных возможностей микроконтроллеров общего назначения нередко оказывается излишним и вызывающим не оправданные реальными потребностями дополнительные расходы (стоимостные, эксплуатационные и пр.), а программная реализация на таких микроконтроллерах специальных алгоритмов -недопустимо затратной с точки зрения указанных выше критериев оптимальности системы.

К типовым приложениям, в которых целесообразно и востребовано применение ФОП и ФОК, относятся различного рода бортовые системы управления, автономные системы связи, распределенные системы сбора данных, персональные коммуникаторы и др.

Развитие такого класса систем характеризуется ростом требований к их надежности и к точности вычислений, ростом сложности алгоритмов, увеличением числа различных датчиков и исполнительных механизмов, ужесточением требований к частотным характеристикам, массогабарит-ным показателям и потребляемой мощности.

Таким образом, для каждой группы задач (из их достаточно разнообразного круга) желательно располагать специальным, наилучшим образом подходящим к этой группе задач, микроконтроллером.

Классификация и примеры реализации ФОК

Для ряда описанных выше специальных приложений производителями (в т. ч. в России) выпускаются функционально-ориентированные микроконтроллеры. Несмотря на многообразие задач, в которых целесообразно применение ФОК, последние могут быть объединены в группы с использованием различных критериев, в частности, критерия основного направления их специализации (рис. 1).

Рассмотрим ниже некоторые характерные примеры разработок ФОК, не только представляющих научно-практический интерес, но и успешных в коммерческом смысле - являющихся серийно выпускаемыми изделиями с устойчивым рынком сбыта.

Характерным представителем первой классификационной ветви является выпускаемый фирмой Atmel ФОК-микроконтроллер АТА5505 [2], содержащий встроенный блок К^ГО-интерфейса, обеспечивающий беспроводной радиочастотный обмен данными со встроенными в объекты идентификационными метками (иначе - КЛЭ-метками, или транспондерами), что широко применяется в системах складского учета, конвейерном производстве, системах идентификации, контроля доступа и т. п. ФОК АТА5505 исполняется в корпусе QFN размером 5x7 мм, работает в низкочастотном диапазоне радиосигналов (100-150 КГц) и совместим со всеми действую-

Рис. 1. Вариант классификации ФОК

Data BusÜ-txt

I s g 3 a i s

S1 «, 3, £, £, в, a,

& osolalor Frwutncy

adfjstmint

Input 6—

Lowpa&s fitter

s

Schmitt trigger

Kr

»Output

38 37 36 35 34 33 32

1 Э1

2 30

3 29

4 23

5 27

в At nie 1 2«

7 ATA5505 23

3 24

в 23

10 22

11 21

12 20

13 14 15 1в 17 1в 19

¡¡уз^Ые' gi

Og о о ^ Q и

Рис. 2. ФОК АТА5505: а - структура; б - ядро; в - блок RFID; г - цоколевка

щими стандартами чтения и программирования ИЛО-меток с амплитудной манипуляцией, что позволяет разработать полноценное решение для схем идентификации с использованием минимального количества внешних компонентов, тем самым обеспечивая возможность сокращения стоимости и сроков разработки нового изделия, а также обеспечения его наилучших надежностных и массогабаритных показателей (рис. 2).

ФОК АТА5505 имеет 512 байтов статической памяти, 512 байтов ЕЕРЯОМ, 16 входов-выходов общего назначения, 32 регистра общего назначения, 8-разрядный таймер-счетчик со

схемой сравнения, 16-разрядный таймер-счетчик со схемами захвата и сравнения, универсальный последовательный интерфейс, контроллер внутренних и внешних прерываний, 11-канальный 10-разрядный АЦП, программируемый сторожевой таймер со встроенным генератором и три программно настраиваемых режима энергопотребления. За счет выполнения сложных инструкций за один цикл, микроконтроллер позволяет достичь производительности 1М1К на МГц с возможностью настройки потребляемой мощности и скорости вычислений.

Представителем второй классификационной

7 tstl (."_ ".IN 'M NT гл1 TMIILII: -лг ту 1 CKI .in

К .il

^ .u

■1 886ВЕЗУ .n

1 i-s-hic.

cj г;: лсо юэ г;' лс1 ioi г;г ли2 юг r;j лиг юз Р"С4 ALi IUi nts IW I'uE ЛИ ЮЗ PL:.- ли,- ЮТ

b-Z

JSGC.'i f-1

fn

10 Jjj- jL

П j jr ЛГ/

1 1 Ji: r AI ■ I .'1 Г -1 ill=l "..7 ADiO AD11 PDJ.AOiiJie :>■■ н Г.-ЧПР PE1_Wrt_THMI PC5 WHii тное KXDF l-Lt lltv "Li oi

J-

jT .1С-. .1С-.

.1С.-Л

ii

ы .1 Si .J.. .Ja. Utt Ji! Hi

id J" Л ж

P7

M

-¿i

J=s\1 - 1

"n

j Jc:1pj-L Jc^Fu'L LQFPS4 1R

IK

Рис. 3. ФОК К1886ВЕ3У: а - структура; б - цоколевка; в - схема блока криптозащиты

ветви является выпускаемый фирмой Миландр (г. Зеленоград) микроконтроллер 1886BE3 [3] (рис. 3).

Данный микроконтроллер содержит блок аппаратной поддержки алгоритма криптографической защиты информации по ГОСТ 28147-89, 16-разрядный таймер-счетчик, коммуникационные порты USB и USART, 28 линий портов ввода-вывода, встроенную память программ объемом 32 Кх16 байт, встроенную память данных объемом 902 байта, четырехвекторный контроллер прерываний, сторожевой таймер. По системе команд ФОК совместим с МК PIC17 и выпускается в корпусе LQFP64.

Третью классификационную ветвь ФОК можно охарактеризовать примером микроконтроллеров 1867ВЦ5Т [4] (рис. 4), выпускаемых Научно-исследовательским институтом электронной

техники (НИИ ЭТ, г. Воронеж) и предназначенных для построения систем цифрового управления электрическими двигателями.

Микроконтроллер 1867ВЦ5Т содержит 16-разрядный процессор цифровой обработки сигналов, совместимый с архитектурой процессора 1867ВМ2, встроенную флэш-память программ, блок менеджера событий, а также ряд последовательных интерфейсов (в их числе SCI и SPI).

В состав менеджера событий входит 12-канальный блок ШИМ, три таймера, 6 блоков сравнения, 4 блока захвата. В состав ФОК входят также два независимых 10-разрядных 8-канальных АЦП, что позволяет разрабатывать различные системы управления электроприводом с существенно меньшими трудозатратами, чем при традиционном подходе к разработке (например, реализовать систему демпфирования колеба-

а)

б)

—- V 015 □14 V

MPU A15

013 012 011 010 D9 08 А1Э A12 A11

A10 A9 A3

D6 D5 D4 03 A7 A6 A5 A4

D2 A2

DO A1 AO

ADCINO/IOPAO ADCIN1/IOPA1 ADCIN9/IOPA2 ADCIN8/IOPA3 TMRDIR/I0PB6 V DS# PS# ISff RD У WR# STRBtf WE# WR / RD# BR#

ADCSOCilOPGO

XF/IOPC2 ВКЖЮРСЗ САР1ЮЕР1Л0РС4 САР2ЮЕР2ЛОРС5 САРЭ/ЮРС6 CAP4/IQPC?

V PWM1/CMP1 PWM2/CMP2 PWM3/CMP3 PWM4/CMP4 PWM5/CMP5 PWM6/CMP6

— V

PWM8/C М Р8УЮРВ1 SPISIMO/IO

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

T1PWMfT1CMP/IOPB3 T2PWMfT2CMP/IOPB4 T3PWMiT3CMP/IOPB5 SPISOMIflO SPICLK/IO SPISTE/IQ

READY . FW — & MP MC# NMI# PORESET# **

SCIRXD/IO SCITXD/IO

V TDO

XINT1# XINT2/I0 M- #vcci ;

PDP1NT# VPP/WODIS #vcci ; #vcci ;

ADCIN2 #vcci :

ADCIN4 ADCIN5 ADCIM6 ADCIN7 ADCIN10 ADCIN11 A0CIN12 ADCIN13 A0CIN14 ADCIN1S #vcci ;

#GND1; «3ND1; #GND1 : #GND1 : #GND1; #GND1 ; #GND1;

#GND1: #CND1 ; #GN01 ;

TCK TDI

TMS TRST# EMUO -«--V EMU1/0FF# V #VCC2 ; мCC2 ;

#GND2. #GND2;

VREFLOJ VREFHf;

RESERVED

BQ2 nvcc

BQ1/CLKIN OSCBYP# flGND

Рис. 4. ФОК 1867ВЦ5Т: а - структура; б - цоколевка

ний в механической части привода, синхронизацию вращения валов и др.).

Разнообразный состав четвертой группы комбинированных ФОК характеризует выпускаемое фирмой Zensys семейство микроконтроллеров ZW0x0y [5] (рис. 5), имеющих MCS51-совместимую архитектуру и предназначенных для разработки распределенных систем измерения, контроля и управления на основе локальных беспроводных самоорганизующихся сетей радиочастотной связи стандарта Z-Wave.

Эти ФОК отличаются специализированными коммуникационными возможностями (специальный радиоканал), а также встроенной библиотекой прикладных программ (API) для обслуживания сложных протоколов взаимодействия абонентов в самоорганизующихся сетях.

Так, например, ФОК ZW0301 имеет 32 Кбайт Flash-памяти и 2 Кбайта статического ОЗУ, контроллер ФИМ (для реализации тиристорного управления), 4-канальный 12-разрядный АЦП, контроллер ШИМ, приемопередатчики SPI и UART, три 16-битных таймера, сторожевой таймер, 10 линий ввода-вывода, а также блок радиоканала с оригинальным протоколом обмена, позволяющим разрабатывать распределенные приложения с самоорганизующейся структурой. ФОК выпускается в 32-выводном корпусе QFN размером 5x5 мм.

К четвертой классификационной группе также относится и микроконтроллер i.MX515 фирмы Freescale [6] с ядром ARM Cortex A8, содержащий встроенный сопроцессор для аппаратной обработки изображений (масштабирование, на-

а)

б)

Flash Memory Z-Wave™ SW API Application SW 2 kbyte XRAM GP Timer- XTAL Driver RF Transceiver

Timer 0

256 byte RAM Timer 1

WUT Clock Control

8051W

SPI Controller Interrupt Controller

ADC

HART SFR

Triac Contro 1er Watchdog Power Management

Modem

О Interfaces Supply Regulators PGR/ Bro'Aivajt

s

! I

NC. NC R£SET_M PI 7 .MNT1 PWM / INTO PIS P1.4ÎSCK (VPP)

Я n 8 ÄJ <ч <3

О

Mmq_co xosc.ai

ivsst

PÛ о.1 АкоZEROX

PO 1 .' A[)c I TftlAC P1.CHADC21 T^D pi 11 Aoa : RïCi

s g о

S S й

g ä g

г)

RESET. N TXJND

RFJO

RXJND

POX XOSC Q1

P1.X XOSC_Q2

Рис. 5. ФОК ZW0301: а - структура; б - цоколевка; е - блок радиоканала; г - схема включения

ложение, вращение и др.), а также интерфейс P-ATA для прямой работы с внешними накопителями.

К пятой классификационной группе ФОК могут быть отнесены известные альтернативы ФОКам с фиксированной архитектурой аппаратных средств - т. н. системы на кристалле, программируемые системы на кристалле (System on Chip, Programmable System on Chip - SoC, PSoC), - содержащие в корпусе одной интегральной микросхемы узел микроконтроллера и узел программируемой логической интегральной схемы или программируемой аналоговой интегральной схемы (ПЛИС, ПАИС). Функционирование микроконтроллерного ядра такого ФОК с переменной структурой определяется записываемой в его память программой, а функционирование ПЛИС или ПАИС - записываемой в ее память конфигурацией аппаратных соединений ее элементов. Тем самым разработчику предоставляется возможность создавать и описывать как программно задаваемые, так и аппаратно задаваемые элементы преобразования информации. Примером таких ФОК может являться семейство PSoC, выпускаемых фирмой Cypress [7]. В семейство

входят PSoC, в т. ч. с микроконтроллерами популярных архитектур MCS-51 (рис. 6) и ARM.

К этой же пятой группе относятся микроконтроллеры фирмы EnergyMicro семейства Gecko, в частности, EFM32G210F128-QFN32 [8], разрабатываемые для применения в системах с предельно жесткими ограничениями на энегропотребле-ние компонентов. Так, указанный ФОК в режиме максимальных функциональных возможностей потребляет ток 150 мкА/МГц, а в режиме ожидания событий (изменение состояния входных контактов) его ток потребления составляет 20 нА.

Постановка задачи

Несмотря на многообразие ФОК, направленных на удовлетворение различным условиям, предъявляемым к разрабатываемой микроконтроллерной системе управления, проблема создания и использования ФОК не может быть гарантированно решена исключительно в рамках существующих подходов, что подтверждается следующими обстоятельствами:

• в большинстве ФОК кардинальная оптимизация проводится по одному критерию, в связи с чем задача поиска ФОК, одновременно удовлет-

Рис. 6. Структура ФОК PSoC CY8C38

воряющего нескольким требованиям (относящегося к классификационной группе 4 на рис. 1), может оказаться разрешимой лишь частично и потому неоптимально (например, путем выбора заведомо избыточного ФОК), либо вовсе неразрешимой, что усложняет процесс разработки конкретного нового изделия;

• коллектив разработчиков, систематически использующих ФОК в своих разработках, как правило сталкивается с проблемой миграции между различными классами ФОК для очередной новой разработки, что приводит к дилемме: либо использованию хорошо известных разработчику, но заведомо избыточных ФОК (т. е. неоптимальному решению задачи разработки), либо профессиональному освоению аппаратного и программного обеспечения новых и новых ФОК, что в большинстве случаев чревато увеличением продолжительности разработки, а в ряде случаев - и недостаточным уровнем ее качества.

Подход с использованием архитектурных ре-

шений ФОК типа PSoC, несмотря на очевидные достоинства, также имеет ряд недостатков:

• архитектурные возможности применяемых в таких ФОК ПЛИС и ПАИС как правило не позволяют реализовать с их применением сложные структуры обработки данных, необходимые, в частности, для решения задач аппаратной поддержки специфических вычислений (второй классификационной группы по рис. 1);

• сохраняется проблематичность описанной выше миграции разработчика между моделями ФОК для очередных разработок.

В связи с отмеченными выше обстоятельствами, целесообразным, на наш взгляд, является принципиально иной подход к разработке ФОК, заключающийся в предоставлении разработчику целевого встраиваемого приложения средств создания (либо конфигурирования) произвольного ФОК с определяемыми потребностями разработчика возможностями.

Тем самым преодолевается противоречие между существующим представлением о МК

(и ФОК в т. ч.) как о вычислительных системах, чья функциональная гибкость обеспечивается исключительно путем изменения программной составляющей при неизменной архитектуре аппаратных средств - с одной стороны, и потребностью изменять эту архитектуру в зависимости от решаемой задачи - с другой стороны. В распоряжение разработчика должен быть предоставлен инструмент, позволяющий создать наиболее соответствующую задаче архитектуру аппаратной платформы ФОК, после чего разработать для этой платформы необходимое программное обеспечение.

Таким образом, речь должна идти о создании специализированных инструментальных решений, обеспечивающих поддержку научно-исследовательских и начальных этапов опытно-конструкторских работ в области проектирования аппаратуры и программного обеспечения функционально-ориентированных контроллеров.

Предлагаемые архитектурные решения для инструментальных средств

Основная идея построения инструментального комплекса базируется на использовании расширяемых параметризируемых библиотек описания ядра и периферийных устройств ФОК для программируемых интегральных схем (ПЛИС или ПАИС). С применением средств САПР для ПЛИС/ПАИС разработчик, исходя из требований к архитектуре создаваемого ФОК, выбирает существующие модули, хранящиеся в библиотеке готовых решений, создает недостающие модули (при необходимости пополняя ими эту библиотеку) и объединяет существующие и вновь созданные модули для получения описания нового ФОК. После отладки, трансляции этого описания в машинную форму и его занесения в память ПЛИС (ПАИС), последняя начинает выполнять функции аппаратного обеспечения разрабатываемого ФОК.

Далее, используя средства САПР для разработки программного обеспечения ФОК, разработчик создает, отлаживает, транслирует в машинную форму и загружает в память ФОК прикладную программу.

Мы предлагаем следующую структуру инструментального комплекса средств проектирования ФОК (рис. 7):

• инструментальные средства САПР ПЛИС/ ПАИС, позволяющие разрабатывать и/или конфигурировать аппаратную платформу ФОК;

• расширяемая разработчиком библиотека типовых архитектурных решений для аппаратуры ФОК;

• инструментальные средства САПР для программного обеспечения ФОК;

• расширяемая разработчиком библиотека готовых решений программных модулей для ФОК;

• отладочная плата, позволяющая выполнять макетирование и натурное моделирование ФОК и включающая в свой состав (как минимум) средства загрузки конфигурации ПЛИС/ПАИС (интерфейс JTAG и память конфигурации), средства загрузки программного обеспечения МК (ФОК), собственно интегральную микросхему ПЛИС/ ПАИС, выполняющую после конфигурирования функции ФОК, схемы устройства сопряжения для взаимодействия с объектом;

• контрольно-измерительные приборы и имитаторы объекта управления для осуществления натурного моделирования работы ФОК.

Используя предлагаемые средства, разработчик на этапе проектирования архитектуры ФОК выполняет следующие действия: выбор ядра микроконтроллера; определение номенклатуры типовых (библиотечных) периферийных устройств ФОК;

определение нетиповых (специализированных) узлов ФОК;

комплексирование ядра МК и типовых узлов ФОК;

разработку и отладку нетиповых узлов ФОК; финальное комплексирование аппаратуры ФОК, трансляцию описания в машинный код и загрузку в память конфигурации ПЛИС/ПАИС.

На этапе проектирования программного обеспечения ФОК разработчик выполняет следующие действия:

определение номенклатуры программных модулей;

выделение и комплексирование типовых (библиотечных) программных модулей;

разработку и отладку нетиповых программных модулей ФОК;

финальное комплексирование программного обеспечения ФОК, трансляцию описания в машинный код и загрузку в память ФОК.

На этапе комплексной отладки программно-аппаратной системы применяется контрольно-измерительное оборудование и имитационные средства.

Рис. 7. Структура средств проектирования ФОК

Вариант реализации комплекса инструментальных средств

В качестве примера средств САПР для ФОК, построенных с применением указанных подходов, рассмотрим комплекс FOХ-51, разработанный нами (рис. 8). Комплекс имеет следующие отличительные особенности:

• используемый пакет САПР для ПЛИС -Quartus II (рис. 8 а);

• пакет САПР для МК - Shell51 (рис. 8 б);

• применяемая в составе отладочной платы микросхема ПЛИС - Cyclone III (рис. 8 в);

• микроконтроллерное ядро ФОК - MCU-51 разработки Калифорнийского университета.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

а)

Рис. 8. Пример комплекса средств САПР для ФОК: а - загрузка конфигурации ФОК; б - загрузка программы; в - аппаратные отладочные средства

а)

ГЯ и1Т1_асМг(15..0)

ит_ои1_<Ма|/..С1|

кпл ¡и (1ай.17..0] хгт гс1

гот <1аГар 0| КПП ш

1И1 РОМ

Р1|7,0|

Р217..0)

1ж1

р'А'Ш 011

Ы 01ЛГ7 01

кх1_е

1сс1_гл

Ы Г!?

Рис. 9. Экспериментальный ФОК: а - схема; б - генерация ШИМ; е - взаимодействие с ЖКИ

С использованием комплекса в экспериментальных целях разработан MCS-51-совместимый ФОК четвертой классификационной группы, содержащий в своем составе узел аппаратной генерации ШИМ-сигналов и узел обслуживания алфавитно-цифрового ЖКИ (рис. 9). Для определения степени снижения ре-сурсоемкости разработок и степени повышения их точности с применением предлагаемых расширений проведен ряд экспериментов, заключающихся в реализации функций ШИМ и вывода на ЖКИ как типовыми средствами МК, так

и с использованием разработанных нетиповых узлов ФОК.

Так, на рис. 10 показан вариант схемы программы генерации ШИМ-сигналов, принимающей через интерфейс UART двухбайтный код периода ШИМ-сигнала и однобайтный код коэффициента заполнения и использующей для генерации ШИМ лишь типовые ресурсы МК -два таймера-счетчика и линию порта ввода-вывода.

На рис. 11 приведена реализация системы генерации аналогичного ШИМ-сигнала, но ис-

таш1 О

V У 1

Настроить Рассчитать Тимп,

последовательный рассчитать Тпаузы

порт

Настроить систему Занести код Тпауз

прерывании в таймер Т1

и таимеры-счетчики

1 1

Принять старший Занести код Тимп

и младший байты в таймер ТО,

кода периода включить ТО

1 1

/■ \

Принять код Конец

коэффициента программы

заполнения таш1

Ч У

/ N Обработчик запросов прерываний ТО У N Обработчик запросов прерываний Т1 V У

1 1

Переключить выходной сигнал ШИМ в лог. «0» Переключить выходной сигнал ШИМ в лог. «1»

1 1

Включить таймер Т1 Включить таймер ТО

1 1

Остановить таймер ТО, занести код Тимп в таймер ТО Остановить таймер Т1, занести код Тпауз в таймер Т1

1 1

У Л Конец обработчика запросов прерываний ТО \ у Г Л Конец обработчика запросов прерываний Т1 V У

Рис. 10. Система генерации ШИМ-сигнала: типовое программное решение

пользующая для этого нетиповой узел ФОК -аппаратный модуль ШИМ (рис. 11 а, в). Программа ФОК (рис. 11 г) задает ему принимаемые через UART параметры работы посредством настроечных регистров (рис. 11 б). При этом достигается почти пятикратная экономия объема памяти программ ФОК и высвобождение таких критически значимых ресурсов, как таймеры-счетчики. Точностные характеристики работы двух вариантов реализации приведены на рис. 12. Наглядно видно, что программная реализация проигрывает по точности удержания коэффициента заполнения аппаратной реализации, практически совпадающей с теоретической за-

а)

б)

висимостью на всем диапазоне значений управляющих кодов. Кроме того, аппаратная реализация позволяет практически без погрешностей выдержать период ШИМ-сигнала на всем диапазоне значений кода задания коэффициента заполнения.

На данном этапе работы создан программно-аппаратный комплекс для создания и изучения функционально-ориентированных контроллеров, разработан демонстрационный вариант ФОК с периферийными устройствами нашей разработки, проведен ряд экспериментальных исследований.

г)

— Ык output

— rst

freq_ti[T..01

freq_l[7 0]

d_c:ycks[7 0]

Регистры блока ШИМ

Название Адрес Назначение

PWMPH Clh Код периода (ст.байт)

PWMPL C2h Код периода (мл.байт)

FWMDC C3h Код коэфф. заполнения

PWMEN D8h.7 Бит разрешения работы

main2

process(clk,rst)

variable Counter: unsigned(4 downto 1); variable Curr_point: unsigned(15 downto 0); variable Period: unsigned(15 downto 0); variable Timp: unsigned(31 downto 0); begin

if (rst= '1') then

Period := to_unsigned(0,16); Curr_point := to_unsigned(0,16); Timp := to_unsigned(0,32); Counter := to_unsigned(0,4); output <= '1'; else if (rising_edge(clk) and ena =' 1') then Period := per_h & per_l; Timp :=per_l*d_cycle + per_h*d_cycle*256; if Counter = "1011" then

Curr_point := Curr_point + 1; Counter := "0000";

else

Counter := Counter + 1;

end if;

if Curr_point = (Timp(23 downto 8)) flien

output <= 0'; else if Curr_point = (Period) then output <= '1';

Curr_point:=to_unsigned(0,l 6); else if Curr_point = to_unsigned(0,16) then output <='1'; end if; end if;

end if;

end if;

end if; end process;

настроить узел ШИМ

настроить последовательный порт

принять старшии и младший байты кода периода

принять код коэффициента заполнения

занести коды в регистры блока ШИМ

конец программы main2

v_/

Рис. 11. Система генерации ШИМ-сигнала: а - узел ШИМ; б - SFR-регистры узла; в - VHDL-описание узла; г - использование узла в программе

Рис. 12. Точностные характеристики ШИМ для различных кодов коэффициента заполнения. Тшим = 100 мкс Программная реализация (а), реализация с применением узла ШИМ (б)

Открытость и модульность структуры комплекса позволяют проводить дальнейшие эксперименты по разработке и использованию новых ФОК, а также производить изменения в структуре комплекса.

Комплекс позволяет проектировать и анали-

зировать широкий спектр алгоритмов управления и пригоден к применению в научных экспериментах и учебно-методической работе.

Дополнительная информация о лаборатории ВИСУ и деятельности ее коллектива доступна в сети (http://aivt.ftk. spbstu.ru/education/labs/intelsys/ и http://www.famous-scientists. ги/12041)

СПИСОК ЛИТЕРАТУРЫ

1. Водяхо, А.И. Функционально-ориентированные процессоры [Текст] / А.И. Водяхо, В.Б. Смолов, В.У Плюснин [и др.]. -Л.: ЛО Машиностроение, 1988. -224 с.

2. Электронный ресурс / Режим доступа: http:// www.atmel.com/Images/doc9219.pdf (Дата обращения 08.02.2013)

3. Электронный ресурс / Режим доступа: http:// milandr.ru/uploads/Products/ product_36/spec_1886BE3. pdf (Дата обращения 08.02.2013)

4. Электронный ресурс / Режим доступа: http:// www.niiet.ru/index.php/chips/processors?id=161 (Дата

обращения 08.02.2013)

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

5. Электронный ресурс / Режим доступа: http:// www.z-wave.ru/download-document/29-w0301-single-chip.html (Дата обращения 08.02.2013)

6. Электронный ресурс / Режим доступа: http:// www.freescale.com/webapp/sps/site/prod_summary. jsp?code=i.MX515 (Дата обращения 08.02.2013)

7. Электронный ресурс / Режим доступа: http://www. cypress.com/?id=1353 (Дата обращения 11.02.2013)

8. Электронный ресурс / Режим доступа: http:// www.energymicro.com/products/ efm32g210f128 (Дата обращения 11.02.2013)

REFERENCES

1. Vodiakho A.I., Smolov V.B., Plmsnm V.U., Puzankov D.V. Funktsional'no-orientirovannye protsessory. -Leningrad: Mashinostroenie. Leningr. otd-nie, 1988. -224 s. (rus)

2. Availiable http://www.atmel.com/Images/ doc9219. pdf (Accessed 08.02.2013)

3. Availiable http://milandr.ru/uploads/Products/ product_36/spec_1886BE3.pdf (Accessed 08.02.2013)

4. Availiable http://www.niiet.ru/index.php/chips/ processors?id=161 (Accessed 08.02.2013)

5. Availiable http://www.z-wave.ru/download-document/29-w0301-single-chip.html (Accessed 08.02.2013)

6. Availiable http://www.freescale.com/webapp/ sps/ site/prod_summary.jsp?code=i.MX515 (Accessed 08.02.2013)

7. Availiable http://www.cypress.com/?id=1353 (Accessed 11.02.2013)

8. Availiable http://www.energymicro.com/ products/ efm32g210f128 (Accessed 11.02.2013)

© Санкт-Петербургский государственный политехнический университет, 2013

i Надоели баннеры? Вы всегда можете отключить рекламу.