Научная статья на тему 'Исследование и разработка процесса глубокого анизотропного плазменного травления кремния со сниженной шероховатостью боковых стенок структур'

Исследование и разработка процесса глубокого анизотропного плазменного травления кремния со сниженной шероховатостью боковых стенок структур Текст научной статьи по специальности «Нанотехнологии»

CC BY
25
6
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
глубокое анизотропное плазменное травление кремния / Boschпроцесс / шероховатость боковых стенок / скэллопы / источник индуктивносвязанной плазмы / deep anisotropic plasma silicon etching / Bosch process / sidewall roughness / scallops / inductively coupled plasma source

Аннотация научной статьи по нанотехнологиям, автор научной работы — Голишников Александр Анатольевич, Дюжев Николай Алексеевич, Парамонов Владислав Витальевич, Потапенко Илья Викторович, Путря Михаил Георгиевич

В производстве микрои наноэлектронных устройств для глубокого анизотропного плазменного травления кремния при формировании щелевых структур в настоящее время широко используется Boschпроцесс. Однако гребешковые неровности (скэллопы), образующиеся в процессе травления на боковой стенке формируемой структуры, могут существенно ухудшать характеристики создаваемого конечного изделия, например нанофотонных структур. В работе предложен простой и эффективный метод уменьшения высоты гребней на боковых поверхностях формируемых структур. Для достижения результата проведено исследование влияния параметров Bosch-процесса на профиль и микроморфологию стенок формируемых структур. В частности, исследовано влияние соотношения времен стадий травления и пассивации, ВЧ-мощности смещения на электроде-подложкодержателе, давления и скорости газовых потоков используемых газов на линейные размеры скэллопов. Влияние операционных параметров на геометрию скэллопов на боковых стенках структур оценено по измеренным значениям глубины и длины гребней для каждой совокупности операционных параметров. Проведенные исследования позволили разработать процесс формирования структур с уменьшенной на 65 % глубиной и на 43 % длиной гребней на их боковых поверхностях. Описываемый в работе метод сглаживания боковых стенок пригоден для широкого применения в микрообработке кремния для изделий фотоники, микрои наноэлектроники.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по нанотехнологиям , автор научной работы — Голишников Александр Анатольевич, Дюжев Николай Алексеевич, Парамонов Владислав Витальевич, Потапенко Илья Викторович, Путря Михаил Георгиевич

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Research and development of the deep anisotropic silicon plasma etching process with reduced sidewall roughness of the structures

In the production of microand nanoelectronic devices for silicon deep reactive ion etching, the Bosch process is widely used in the formation of trench structures. However, scallop irregularities formed during etching on the sidewall of the formed structure can significantly impair the characteristics of the final product being created, for example nanophotonic structures. In this work, a simple and effective method for reducing the scallops height on the structures side surfaces is proposed. To achieve the result, the impact of the Bosch process parameters on the profile and structures walls micromorphology was studied. In particular, the impact of the etching and passivation times ratio, bias RF power on the electrode, pressure and gas flow velocities was researched. Structures sidewalls scallops geometry was estimated from the measured values of the scallops’ depth and length for each operational parameters sets. The research has made it possible to develop a process to form structures with a 65 % reduced scallops depth and a 43 % reduced scallops length on their side surfaces. The side wall smoothing method described in this work is suitable for broad applicability in silicon micromachining for a wide range of photonics, microand nanoelectronics products.

Текст научной работы на тему «Исследование и разработка процесса глубокого анизотропного плазменного травления кремния со сниженной шероховатостью боковых стенок структур»

ТЕХНОЛОГИЧЕСКИЕ ПРОЦЕССЫ И МАРШРУТЫ TECHNOLOGICAL PROCESSES AND ROUTES

Научная статья УДК 62-95

doi:10.24151/1561-5405-2023-28-6-762-772 EDN: VNJRQE

Исследование и разработка процесса глубокого анизотропного плазменного травления кремния со сниженной шероховатостью боковых стенок структур

А. А. Голишников1, Н. А. Дюжев1, В. В. Парамонов1, И. В. Потапенко1'2,

1 12 1 М. Г. Путря , Н. М. Сомов ' , Ю. А. Чаплыгин

1 Национальный исследовательский университет «МИЭТ»,

г. Москва, Россия

2

2НПК «Технологический центр», г. Москва, Россия dsd@miee.ru

Аннотация. В производстве микро- и наноэлектронных устройств для глубокого анизотропного плазменного травления кремния при формировании щелевых структур в настоящее время широко используется Bosch-процесс. Однако гребешковые неровности (скэллопы), образующиеся в процессе травления на боковой стенке формируемой структуры, могут существенно ухудшать характеристики создаваемого конечного изделия, например нанофотонных структур. В работе предложен простой и эффективный метод уменьшения высоты гребней на боковых поверхностях формируемых структур. Для достижения результата проведено исследование влияния параметров Bosch-процесса на профиль и микроморфологию стенок формируемых структур. В частности, исследовано влияние соотношения времен стадий травления и пассивации, ВЧ-мощности смещения на электроде-подложкодержателе, давления и скорости газовых потоков используемых газов на линейные размеры скэллопов. Влияние операционных параметров на геометрию скэллопов на боковых стенках структур оценено по измеренным значениям глубины и длины гребней для каждой совокупности операционных параметров. Проведенные исследования позволили разработать процесс формирования структур с уменьшенной на 65 % глубиной и на 43 % длиной гребней на их боковых поверхностях. Описываемый в работе метод сглаживания боковых стенок пригоден для широкого применения в микрообработке кремния для изделий фотоники, микро- и наноэлектроники.

Ключевые слова: глубокое анизотропное плазменное травление кремния, Bosch-процесс, шероховатость боковых стенок, скэллопы, источник индуктивно-связанной плазмы

© А. А. Голишников, Н. А. Дюжев, В. В. Парамонов, И. В. Потапенко, М. Г. Путря, Н. М. Сомов, Ю. А. Чаплыгин, 2023

Финансирование работы: работа выполнена при финансовой поддержке РНФ (грант № 23-29-00189).

Для цитирования: Исследование и разработка процесса глубокого анизотропного плазменного травления кремния со сниженной шероховатостью боковых стенок структур / А. А. Голишников, Н. А. Дюжев, В. В. Парамонов и др. // Изв. вузов. Электроника. 2023. Т. 28. № 6. С. 762-772. https://doi.org/10.24151/1561-5405-2023-28-6-762-772. - EDN: VNJRQE.

Original article

Research and development of the deep anisotropic silicon plasma etching process with reduced sidewall roughness of the structures

A. A. Golishnikov1, N. A. Dyuzhev1, V. V. Paramonov1, I. V. Potapenko1'2, M. G. Putrya1, N. M. Somov12, Yu. A. Chaplygin1

1National Research University of Electronic Technology, Moscow, Russia

2 (( -y yy

SMC "Technological Centre ", Moscow, Russia dsd@miee.ru

Abstract. In the production of micro- and nanoelectronic devices for silicon deep reactive ion etching, the Bosch process is widely used in the formation of trench structures. However, scallop irregularities formed during etching on the sidewall of the formed structure can significantly impair the characteristics of the final product being created, for example nanophotonic structures. In this work, a simple and effective method for reducing the scallops height on the structures side surfaces is proposed. To achieve the result, the impact of the Bosch process parameters on the profile and structures walls micromorphology was studied. In particular, the impact of the etching and passivation times ratio, bias RF power on the electrode, pressure and gas flow velocities was researched. Structures sidewalls scallops geometry was estimated from the measured values of the scallops' depth and length for each operational parameters sets. The research has made it possible to develop a process to form structures with a 65 % reduced scallops depth and a 43 % reduced scallops length on their side surfaces. The side wall smoothing method described in this work is suitable for broad applicability in silicon micromachining for a wide range of photonics, micro- and nanoelectronics products.

Keywords: deep anisotropic plasma silicon etching, Bosch process, sidewall roughness, scallops, inductively coupled plasma source

Funding: the work has been supported by the Russian Science Foundation (grant no. 23-29-00189).

For citation: Golishnikov A. A., Dyuzhev N. A., Paramonov V. V., Potapenko I. V., Putrya M. G., Somov N. M., Chaplygin Yu. A. Research and development of the deep anisotropic silicon plasma etching process with reduced sidewall roughness of the structures. Proc. Univ. Electronics, 2023, vol. 28, no. 6, pp. 762-772. https://doi.org/ 10.24151/1561-5405-2023-28-6-762-772. - EDN: VNJRQE.

Введение. На сегодняшний день Bosch-процесс является одним из основных методов глубокого анизотропного плазменного травления (ГАПТ) кремния с высокими значениями аспектного отношения и селективности к маскирующим материалам из фоторезиста и диоксида кремния [1-3]. Процесс предусматривает чередование стадий изотропного плазменного травления и пассивации, что приводит к образованию на боковых стенках формируемой структуры гребешковых неровностей (скэллопов). Данный недостаток Bosch-процесса может снизить надежность и ухудшить электрофизические параметры создаваемых электронных, МЭМС- и фотонных устройств [4]. Например, при изготовлении траншейных МОП-транзисторов чрезмерная шероховатость боковых стенок может вызвать увеличенный ток утечки и замедлить быстродействие устройства [5]. Образование скэллопов часто критично для МЭМС-устройств микрофлюидики [6], что требует минимизации шероховатости боковых стенок формируемых структур. Для устранения скэллопов, образовавшихся в результате Bosch-процесса, используют следующую за травлением жидкостную полировку поверхности в таких растворах, как KOH или TMAH [7]. Однако это приводит к усложнению технологического маршрута и не во всех случаях дает желаемый результат [8].

В настоящей работе решается задача минимизации шероховатости боковых стенок структур непосредственно во время Bosch-процесса. При этом обеспечиваются требуемая вертикальность профиля травления с углом наклона стенок (89 ± 1)° и высокая равномерность травления (свыше 95 %).

Методика эксперимента. Для ГАПТ кремния использовали установку плазмохи-мического травления SI 500 PTSA Plasma Etcher (SENTECH Instruments, Германия), с помощью которой реализуется Bosch-процесс. Рабочая камера установки оснащена источником высокоплотной индуктивно-связанной плазмы (ИСП). Схема реактора установки представлена на рис. 1.

Экспериментальными образцами служили пластины монокристаллического кремния КЭФ-4,5 (100) диаметром 100 мм, толщиной 470 мкм. Травление структур на глубину до 120 мкм проводили через маску задубленного при температуре 120 оС в течение 10 мин фоторезиста Rohm Raas S1813 Sp15 толщиной 4,5 мкм с топологическим рисунком в виде окружностей диаметром 5 мм. При этом суммарная площадь травления кремния составляла порядка 65 % от площади пластины.

Для ГАПТ кремния выбран улучшенный Bosch-процесс, представляющий собой чередующиеся стадии травления и пассивации. На стадии травления шаги депассива-ции полимера с горизонтальной поверхности структуры и травления кремния объединены [9].

При проведении исследований процесса ГАПТ кремния на стадии травления варьировали время шага травления tтр в диапазоне от 3 до 9 с, давление Ртр от 3 до 7 Па, расход кислорода Qo2 от 0 до 20 см3/мин, ВЧ-мощность смещения на столике-под-ложкодержателе W^ от 5 до 30 Вт. Другие

Рис. 1. Схематичное изображение реактора высокоплотной индуктивно-связанной плазмы: 1 - генератор ВЧ-мощности; 2 - индуктор;

3 - электростатический экран; 4 - плазма; 5 - подложка; 6 - подложкодержатель; 7 - генератор ВЧ-мощности для смещения подложки;

8 - откачка

Fig. 1. Schematic representation of a high-density inductively coupled plasma reactor: 1 - RF power generator; 2 - inductor; 3 - electrostatic screen;

4 - plasma; 5 - substrate; 6 - substrate holder; 7 - RF power generator for substrate displacement;

8 - pumping out

операционные характеристики шага травления оставались постоянными: расход элегаза 0sf6 = 250 см /мин, подаваемая на индуктор ВЧ-мощность ЖиСП = 800 Вт, расход аргона Qat = 10 см /мин. На стадии пассивации режим обработки не меняли: подаваемая на индуктор ВЧ-мощность W^n пас = 800 Вт, расход хладо-на-318 Qc4Fg = 160 см3/мин, давление Рпас = 4 Па, ВЧ-мощность смещения на столике-под-ложкодержателе Wсм. пас = 1 Вт, время стадии 4ас = 3 с. Количество циклов в исследуемых Bosch-процессах постоянно и равно 50.

Геометрические характеристики структур травления анализировали с применением сканирующего электронного микроскопа JEOL JSM-6490LV (JEOL Ltd., Япония). Параметры скэллопов (длина и глубина) измеряли в трех областях боковой поверхности протравленных структур - в верхней, средней и придонной (рис. 2).

Результаты и их обсуждение. В ходе проведения экспериментов ГАПТ кремния исследовали влияние соотношения времени стадий травления и пассивации, ВЧ-мощности смещения на электроде-подложкодержателе, давления и скорости газовых потоков используемых газов на стадии травления на линейные размеры скэллопов. При этом также учитывали полученные профили травления, отсутствие эффекта «черного кремния» и равномерность травления. Операционные параметры стадии травления при проведении экспериментов по ГАПТ кремния приведены в табл. 1, результаты исследований влияния данных операционных параметров шага травления на характеристики скэллопов - в табл. 2. Следует отметить, что во всех исследуемых режимах Bosch-процесса максимальные значения размеров скэллопов соответствуют верхним областям боковых стенок структур, минимальные - донным, что связано с механизмом задержки реактивного ионного травления [10].

Таблица 1

Режимы стадии ГАПТ кремния

Table 1

Etching step recipe of the Si DRIE process

Номер режима 0ИСП, Вт W '' см? Вт ^тр, с P 1 Тр? Па Qo2, см /мин QSF6, см3/мин QAr, см /мин

1 (базовый) 800 5 9 7 20 250 10

2 800 5 7 7 20 250 10

3 800 5 4 7 20 250 10

4 800 5 4 5 20 250 10

5 800 5 4 3 20 250 10

6 800 10 4 3 20 250 10

7 800 30 4 3 20 250 10

8 800 30 4 3 0 250 10

9 800 30 4 3 10 250 10

(f 1

С) 2

о 3

30kV ----------——-- - 73 Х850 20pm 88 um 11 49SEI

Рис. 2. Микроснимок профиля щели после ГАПТ кремния: 1, 2, 3 - верхняя, средняя и придонная области боковой поверхности

структуры соответственно Fig. 2. Micrograph of the trench profile after deep anisotropic plasma silicon etching: 1, 2, 3 - upper, middle and near-bottom areas of side surface of the structure, respectively

Таблица 2

Результаты исследований режимов Bosch-процесса ГАПТ кремния

Table 2

Studies results of the Si DRIE Bosch process recipes

Номер режима lmax? мкм lmim мкм lсp, мкм bmax, мкм bmim мкм bcp, мкм h, мкм V v тр? мкм/мин

1 (базовый) 1,84 1,12 1,48 0,52 0,38 0,45 74 6,84

2 1,58 0,90 1,24 0,44 0,32 0,38 62 6,78

3 1,12 0,68 0,90 0,36 0,24 0,30 45 6,75

4 1,05 0,60 0,84 0,32 0,22 0,27 42 6,30

5 0,90 0,54 0,72 0,27 0,19 0,23 36 5,40

6 0,96 0,60 0,78 0,27 0,17 0,22 39 5,80

7 1,16 0,56 0,85 0,22 0,10 0,16 42,5 6,38

8 1,06 0,62 0,84 0,56 0,24 0,40 42 6,27

9 1,18 0,56 0,87 0,27 0,19 0,23 43,3 6,50

Примечание: /тах, 1шш, 1ср - максимальная, минимальная и средняя длина скэллопов соответственно; йтах, Ь^, Ьср - максимальная, минимальная и средняя глубина скэллопов соответственно; h - глубина протравленной кремниевой структуры; Утр - скорость травления

Анализ полученных результатов исследований позволил установить зависимости геометрических параметров скэллопов и скорости травления от времени травления, ВЧ-мощности смещения на электроде-подложкодержателе, давления и расхода кислорода на стадии травления (рис. 3).

Стадия травления в Bosch-процессе является изотропной, поэтому в результате последовательного уменьшения времени травления с 9 до 4 с (при постоянных остальных операционных характеристиках) и, следовательно, сокращения длительности воздействия на обрабатываемую поверхность получены меньшие линейные размеры микрошероховатости (рис. 3, а). Так, при сокращении времени травления до 4 с средние значения длины и глубины скэллопов уменьшились до 0,9 и 0,30 мкм соответственно (режим 3) по сравнению с параметрами микрошероховатостей режима № 1 (1,48 и 0,45 мкм соответственно). Скорость травления процесса при этом снизилась незначительно (на 1,3 %) до 6,75 мкм/мин (рис. 3, б). Предположительно, это связано с тем, что при уменьшении времени стадии травления сокращается его полезная длительность в процентном соотношении при переключении стадий травление - пассивация, так как системы подачи рабочих газов и регулировки давления обеспечивают переключение стадий примерно за 0,5 с. Дальнейшее сокращение времени стадии травления до 3 с привело к появлению на дне структуры эффекта «черного кремния» с высотой микро-игл, соизмеримой с глубиной структуры травления (рис. 4). Возникновение микроигл объясняется тем, что фторуглеродная пленка, осаждаемая на стадии пассивации, не успевает на стадии травления полностью стравиться с горизонтальной поверхности за 3 с. Остатки пленки образуют микромаскирующие области на обрабатываемой поверхности, что при последующем травлении приводит к неполному стравливанию кремния под этими областями. Поэтому в качестве оптимального значения выбрано время травления, равное 4 с.

Установлено, что с понижением рабочего давления на стадии травления средние значения длины и глубины скэллопов уменьшаются (рис. 3, в). Так, при снижении давления с 7 до 3 Па (режим 5) при времени травления 4 с длина скэллопов уменьшилась с 0,90 до 0,72 мкм, а глубина - с 0,30 до 0,23 мкм. При этом скорость процесса травления

Рис. 3. Зависимости размеров скэллопов и скорости травления кремния от операционных параметров стадии травления Bosch-процесса: а - /ср, Ьср = ftp); б - vw = ftp); в - /ср, Ьср = fP); г - vw = fP);

д - /ср, ЬСр = f WJ; е - vTp = f( WJ; ж - /Ср, йСр = fQo2); з - vTp = f Wo2) Fig. 3. Dependences of the scallop sizes and the silicon etching rate on the operating parameters of the Bosch process etching step: a - /ср, ¿ср = Жр); b - Vтp = f V); с - /ср, ¿ср = fP); d - Vтp = fP); e - /ср, Ьср = f(WCM);

f- Vтp = fiW0M); g - /ср, ¿ср = .Дбо); А - vTp = f W^)

кремния уменьшилась с 6,75 до 5,40 мкм/мин (рис. 3, г). Снижение скорости травления на 20 % и размеров скэллопов при низком давлении объясняется, во-первых, падением концентрации травящих частиц (ионов и химически активных частиц) и, во-вторых, уменьшением их рассеяния и, соответственно, горизонтальной составляющей скорости травления. Давление ниже 3 Па не обеспечивает стабильности поддержания плазменного ВЧ-разряда, что приводит к невоспроизводимости получаемых результатов. В связи с этим предельное нижнее значение рабочего давления на стадии травления составляет 3 Па.

Эксперименты, проведенные в режимах № 5-7 показали, что при увеличении ВЧ-мощности смещения на электроде-подложко-держателе длина шероховатости боковых стенок структур увеличивается, а глубина уменьшается (рис. 3, д). Следует отметить, что по сравнению с базовым процессом травления (режим № 1) геометрические характеристики скэллопов, полученные в режимах № 5-7, в 1,5-2,5 раза меньше. Как видно из рис. 3, д, увеличение ВЧ-мощности смещения до 30 Вт (режим 7) увеличивает длину скэллопов до 0,85 мкм и уменьшает их глубину до 0,16 мкм, что связано с увеличением энергии ионов и их вертикальной направленности. С этими факторами связан рост скорости травления кремния при увеличении ВЧ-мощности смещения (рис. 3, е). Необходимо обратить внимание на тот факт, что дальнейшее увеличение ВЧ-мощности смещения значительно снижает селективность травления кремния по отношению к фоторезистивной маске, что связано с ростом интенсивности ионной бомбардировки обрабатываемой поверхности и, соответственно, с повышением ее температуры, которая способствует ускоренной деструкции фоторезиста. Вследствие этого выбрано значение ВЧ-мощности смещения, равное 30 Вт, обеспечивающее меньшую шероховатость боковых стенок структур.

Состав газовой смеси играет важную роль в процессах плазменного травления [11, 12]. В проведенных экспериментах при формировании структур на стадии травления Bosch-процесса использовали смесь газов ББб + 02 + Аг. В данном случае травление кремния осуществляется в основном за счет химического взаимодействия радикалов фтора с атомами кремния с образованием летучего соединения фторида кремния Ионы аргона обеспечивают физическое распыление преимущественно горизонтальной поверхности. В зависимости от процентного содержания кислорода в газовой смеси он, во-первых, может способствовать повышению концентрации радикалов фтора в газовой фазе разряда и, соответственно, увеличению скорости травления кремния, во-вторых, может пассивировать поверхности формируемой структуры за счет образования на них тонкого окисного слоя, препятствующего взаимодействию радикалов фтора с поверхностью кремния [11, 12]. Поэтому, добавляя кислород в газовую смесь, можно уменьшить шероховатость боковых стенок структур, что и наблюдается на графике зависимости глубины скэллопов от расхода кислорода (рис. 3, ж). При этом увеличение расхода кислорода в газовой смеси от 0 до 10 см /мин приводит к росту скорости травления до 6,5 мкм/мин из-за увеличения коэффициента использования гексафторида серы ББб, а при дальнейшем увеличении содержания кислорода до 20 см /мин скорость

Рис. 4. Микрофотография структуры после уменьшения времени стадии травления до 3 с Fig. 4. Micrograph of the structure after reducing the etch step time to 3 s

травления незначительно уменьшается пропорционально его концентрации в SF6 до 6,35 мкм/мин (рис. 3, з). Несмотря на то что при QO2 = 20 см3/мин скорость травления меньше, чем при Qo2 = 10 см /мин, и наблюдается снижение селективности кремния по отношению к фоторезистивной маске, выбрано именно это значение расхода кислорода ввиду обеспечения меньшей шероховатости скэллопов.

На основании результатов анализа полученных экспериментальных данных разработан процесс ГАПТ кремния с минимальной шероховатостью боковых стенок структур. Операционные значения параметров разработанного процесса приведены в табл. 3.

Таблица 3

Операционные значения (по умолчанию) параметров разработанного процесса ГАПТ кремния со сниженной шероховатостью боковых стенок структур (T = 15 °C)

Table 3

Operational parameters (by default) of the developed Si DRIE process with reduced sidewalls roughness (T = 15 °C)

Параметр Стадия т] равления Стадия пассивации

Депассивация Травление

Расход SF6, см3/мин 30 250 0

Расход 02, см3/мин 30 20 0

Расход С4Р8, см /мин 0 0 160

Расход Аг, см /мин 70 10 0

Давление, Па 9 3 4

ВЧ-мощность ИСП, Вт 800 800 800

Мощность КГ, Вт 50 30 1

Время, с 1 4 3

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

На рис. 5 представлена структура «глухого» отверстия глубиной ~ 46,3 мкм и диаметром 5 мм, полученная при применении разработанного БовсИ-процесса со сниженной шероховатостью боковых стенок структур.

Анализ боковых поверхностей структур, сформированных в базовом и разработанном процессах, показал, что средние значения длины и глубины скэллопов уменьшились с 1,48 до 0,85 мкм и с 0,45 до 0,16 мкм соответственно (режимы 1 и 7). Средняя скорость травления кремния при этом изменилась незначительно и составила ~ 6,4 мкм/мин по сравнению с базовым режимом № 1, в котором Утр = 6,8 мкм/мин, неравномерность травления осталась на прежнем уровне ± 2 % и угол наклона профиля составил не менее 88,5°. Эффект «черного кремния» как до, так и после оптимизации процесса отсутствует.

'<■ ■ >7"

С

46.29 urn

30kV Х1.400 10jjm 09 50 SEI

Рис. 5. РЭМ-микрофотография кремниевой структуры «глухого» отверстия, полученной при применении разработанного Bosch-процесса: 1, 2 - верхняя и придонная области

боковой поверхности соответственно Fig. 5. SEM micrograph of a blind hole silicon structure obtained in the developed Bosch process: 1,2- upper and near-bottom areas of side surface, respectively

Заключение. Разработанный Bosch-процесс травления кремния характеризуется следующими параметрами: глубина травления до 120 мкм, неравномерность травления не более 4 % на пластинах диаметром 100 мм, скорость травления около 6,4 мкм/мин, угол профиля не менее 88,5°. При этом эффект «черного кремния» на дне структуры отсутствует, уменьшена на 65 % глубина и на 43 % длина скэллопов от верхней поверхности к донной части структуры. Исследования показали, что основным технологическим приемом, позволяющим значительно уменьшить размер скэллопов, является сокращение длительности стадии травления Bosch-процесса.

Разработанный технологический процесс может быть интегрирован в технологический маршрут и применен в технологии изготовления КМОП-структур и МЭМС.

Литература

1. The basics of the Bosch process (Silicon Deep RIE) // Samco [Электронный ресурс]. URL: https://www.samcointl.com/basics-bosch-process-silicon-deep-rie/ (дата обращения: 20.08.2023).

2. Tang Y., Sandoughsaz A., Najafi Kh. Ultra high aspect-ratio and thick deep silicon etching (UDRIE) // 2017 IEEE 30th International Conference on Micro Electro Mechanical Systems (MEMS). Las Vegas: IEEE, 2017. P. 700-703. https://doi.org/10.1109/MEMSYS.2017.7863504

3. Голишников А. А., Костюков Д. А., Путря М. Г. Исследование процесса глубокого анизотропного плазменного травления кремния в парогазовой смеси с пониженной полимеризационной способностью // Изв. вузов. Электроника. 2011. № 3 (89). С. 14-19. EDN: NUZUUV.

4. Choi Y. C., Kim J. S., Kwon S. Y., Kong S. H. The improvement of performance through minimizing scallop size in MEMS based micro wind turbine // Micromachines. 2021. Vol. 12. Iss. 10. Art. No. 1261. https://doi.org/10.3390/mi12101261

5. Chen W.-C., Fang W., Li S.-S. High-Q integrated CMOS-MEMS resonators with deep-submicrometer gaps and quasi-linear frequency tuning // Journal of Microelectromechanical Systems. 2012. Vol. 21. No. 3. P. 688-701. https://doi.org/10.1109/JMEMS.2012.2189360

6. Portable gas chromatograph with integrated components / J. A. Dziuban, J. Mroz, M. Szczygielska et al. // Sensors and Actuators A: Physical. 2004. Vol. 115. Iss. 2-3. P. 318-330. https://doi.org/10.1016/ j.sna.2004.04.028

7. Scallop reduction in Bosch process using a small chamber and rapid gas switching rate / H. Tanaka, H. Ogiso, Sh. Nakano et al. // IEEJ Transactions on Sensors and Micromachines. 2016. Vol. 136. Iss. 12. P. 499-504. (На яп. яз.). https://doi.org/10.1541/ieejsmas.136.499

8. Etch overview for microsystems: Primary knowledge participant guide / Southwest Center for Microsystems Education (SCME) // nanoHUB [Электронный ресурс]. URL: https://nanohub.org/resources/26401/ download/Fab_Etch_PK00_PG.pdf (дата обращения: 13.09.2023).

9. Deep reactive ion etching of sub-micrometer trenches with ultra-high aspect ratio / J. Parasuraman, A. Summanwar, F. Marty et al. // Microelectronic Engineering. 2014. Vol. 113. P. 35-39. https://doi.org/ 10.1016/j.mee.2013.06.010

10. Голишников А. А., Путря М. Г. Плазменные процессы в наноэлектронике, микро- и наноси-стемной технике: учеб. пособие. М.: МИЭТ, 2022. 163 с.

11. Мочалова А. И., Галперин В. А., Данилкин Е. В. Процессы плазменного травления в микро- и нанотехнологии. М.: МИЭТ, 2007. 332 с.

12. Голишников А. А., Сагунова И. В., Шевяков В. И. Основы технологии электронной компонентной базы: учеб. пособие. М.: МИЭТ, 2022. 268 с.

Статья поступила в редакцию 10.08.2023 г.; одобрена после рецензирования 23.08.2023 г.;

принята к публикации 24.10.2023 г.

Информация об авторах

Голишников Александр Анатольевич - кандидат технических наук, доцент Института интегральной электроники имени академика К. А. Валиева Национального исследовательского университета «МИЭТ» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1), golishnikov1960@mail.ru

Дюжев Николай Алексеевич - кандидат физико-математических наук, директор Центра коллективного пользования «Микросистемная техника и электронная компонентная база», доцент Института интегральной электроники имени академика К. А. Валиева Национального исследовательского университета «МИЭТ» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1), dyuzhev@ckp-miet.ru

Парамонов Владислав Витальевич - ведущий инженер Центра коллективного пользования «Микросистемная техника и электронная компонентная база» Национального исследовательского университета «МИЭТ» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1), paramonov@ckp-miet.ru

Потапенко Илья Викторович - студент Национального исследовательского университета «МИЭТ» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1), техник участка плазмохимических процессов опытного производства НПК «Технологический центр» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1, стр. 7), ilya.potapenko.2015@gmail.com

Путря Михаил Георгиевич - доктор технических наук, профессор Института интегральной электроники имени академика К. А. Валиева Национального исследовательского университета «МИЭТ» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1), mishapmg@gmail.com

Сомов Никита Михайлович - аспирант Института интегральной электроники имени академика К. А. Валиева Национального исследовательского университета «МИЭТ» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1), инженер-технолог участка плазмохимических процессов опытного производства НПК «Технологический центр» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1, стр. 7), somovnm@mail.ru

Чаплыгин Юрий Александрович - академик РАН, доктор технических наук, профессор, научный руководитель Института интегральной электроники имени академика К. А. Валиева, президент Национального исследовательского университета «МИЭТ» (Россия, 124498, г. Москва, г. Зеленоград, пл. Шокина, 1), president@miet.ru

References

1. The basics of the Bosch process (Silicon Deep RIE). Samco. Available at: https://www.samcointl.com/ basics-bosch-process-silicon-deep-rie/ (accessed: 20.08.2023).

2. Tang Y., Sandoughsaz A., Najafi Kh. Ultra high aspect-ratio and thick deep silicon etching (UDRIE). 2017 IEEE 30th International Conference on Micro Electro Mechanical Systems (MEMS). Las Vegas, IEEE, 2017, pp. 700-703. https://doi.org/10.1109/MEMSYS.2017.7863504

3. Golishnikov A. A., Kostyukov D. A., Putrya M. G. Research of deep anisotropic silicon etching process in gas-vapor mixture with decreased polymerization capacity. Izv. vuzov. Elektronika = Proc. Univ. Electronics, 2011, no. 3 (89), pp. 14-19. (In Russian). EDN: NUZUUV.

4. Choi Y. C., Kim J. S., Kwon S. Y., Kong S. H. The improvement of performance through minimizing scallop size in MEMS based micro wind turbine. Micromachines, 2021, vol. 12, iss. 10, art. no. 1261. https://doi.org/10.3390/mi12101261

5. Chen W.-C., Fang W., Li S.-S. High-Q integrated CMOS-MEMS resonators with deep-submicrometer gaps and quasi-linear frequency tuning. Journal of Microelectromechanical Systems, 2012, vol. 21, no. 3, pp. 688-701. https://doi.org/10.1109/JMEMS.2012.2189360

6. Dziuban J., Mroz J., Szczygielska M., Malachowski M., Gorecka-Drzazga A., Walczak R., Bula W., Zalewski D. et al. Portable gas chromatograph with integrated components. Sensors and Actuators A: Physical, 2004, vol. 115, iss. 2-3, pp. 318-330. https://doi.org/10.1016/j.sna.2004.04.028

7. Tanaka H., Ogiso H., Nakano Sh., Hayami T., Miyazaki T., Khumpuang S., Hara Sh. Scallop reduction in Bosch process using a small chamber and rapid gas switching rate. IEEJ Transactions on Sensors and Micromachines, 2016, vol. 136, iss. 12, pp. 499-504. (In Japanese). https://doi.org/10.1541/ieejsmas.136.499

8. Etch overview for microsystems: Primary knowledge participant guide, by Southwest Center for Microsystems Education (SCME). nanoHUB. Available at: https://nanohub.org/resources/26401/download/ Fab_Etch_PK00_PG.pdf (accessed: 13.09.2023).

9. Parasuraman J., Summanwar A., Marty F., Basset Ph., Angelescu D., Bourouina T. Deep reactive ion etching of sub-micrometer trenches with ultra-high aspect ratio. Microelectronic Engineering, 2014, vol. 113, pp. 35-39. https://doi.org/10.1016Zj.mee.2013.06.010

10. Golishnikov A. A., Putrya M. G. Plasma processes in nanoelectronics, micro- and nanosystem technology, study guide. Moscow, MIET, 2022. 163 p. (In Russian).

11. Mochalov A. I., Galperin V. A., Danilkin E.V. Plasma etching processes in micro- and nanotechnolo-gy. Moscow, MIET, 2007. 332 p. (In Russian).

12. Golishnikov A. A., Sagunova I. V., Shevyakov V. I. Fundamentals of electronic component base technology, study guide. Moscow, MIET, 2022. 268 p. (In Russian).

The article was submitted 10.08.2023; approved after reviewing 23.08.2023;

accepted for publication 24.10.2023.

Information about the authors

Alexander A. Golishnikov - Cand. Sci. (Eng.), Assoc. Prof. of the Institute of Integrated Electronics named after Academician K. A. Valiev, National Research University of Electronic Technology (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1), golishnikov 1960@mail.ru

Nikolai A. Dyuzhev - Cand. Sci. (Phys.-Math.), Director of the Center for Collective Use "Microsystem Technology and Electronic Component Base", Assoc. Prof. of the Institute of Integrated Electronics named after Academician K. A. Valiev, National Research University of Electronic Technology (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1), dyuzhev@ckp -miet.ru

Vladislav V. Paramonov - Senior Engineer of the Center for Collective Use "Microsystem Technology and Electronic Component Base", National Research University of Electronic Technology (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1), paramonov@ckp-miet.ru

Ilya V. Potapenko - Student of the National Research University of Electronic Technology (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1), Technician of the Plasma Chemical Processes Section of the Pilot Production, SMC "Technological Center" (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1, bld. 7), ilya.potapenko.2015@gmail.com Mikhail G. Putrya - Dr. Sci. (Eng.), Prof. of the Institute of Integrated Electronics named after Academician K. A. Valiev, National Research University of Electronic Technology (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1), mishapmg@gmail.com

Nikita M. Somov - PhD student of the Institute of Integrated Electronics named after Academician K. A. Valiev, National Research University of Electronic Technology (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1), Process Engineer of the Plasma Chemical Processes Section of the Pilot Production, RPC "Technological Center" (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1, bld. 7), somovnm@mail.ru

Yury A. Chaplygin - Academician of the Russian Academy of Sciences, Dr. Sci. (Eng.), Prof., scientific supervisor of the Institute of Integrated Electronics named after Academician K. A. Valiev, prezident of the National Research University of Electronic Technology (Russia, 124498, Moscow, Zelenograd, Shokin sq., 1), president@miet.ru

i Надоели баннеры? Вы всегда можете отключить рекламу.