Научная статья на тему 'Химико-механическое полирование. Часть 1. Основные закономерности: обзор'

Химико-механическое полирование. Часть 1. Основные закономерности: обзор Текст научной статьи по специальности «Физика»

CC BY
1862
316
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ХИМИКО-МЕХАНИЧЕСКОЕ ПОЛИРОВАНИЕ / АБРАЗИВ / ЖИДКОСТЬ / ПЛАНАРИЗАЦИЯ / МОДЕЛЬ / CHEMICAL-MECHANICAL POLISHING / ABRASIVE / LIQUID / PLANARIZATION / MODEL

Аннотация научной статьи по физике, автор научной работы — Гольдштейн Роберт Вениаминович, Осипенко Николай Михайлович

Химико-механическое полирование (ХМП) перспективная технология микрои наноэлектроники. Аналитический обзор показывает, что к настоящему времени разработка моделей ХМП остается актуальным научным направлением. Отмечено, что известные модели процесса ХМП не учитывают специфику химических и механических аспектов взаимодействия рабочей жидкости и частиц с полируемой поверхностью, а также взаимодействие с ней пористой поверхности вязкоупругого полировальника, отсутствует описание элементарных актов такого взаимодействия.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Chemical-mechanical polishing. Part 1. Main characteristic: review

Chemical-mechanical polishing (CMP) is a perspective technology in fabrication of micro and nanoelectronics elements, devices and systems. The development of models of CMP processes remains to be the actual problem. It is pointed out that known CMP models do not account for the features of chemical and mechanical mechanisms of interaction of active fluid and particles with a polished surface as well as an interaction of a viscoelastic pad with the surface. A description of the elementary acts of such interaction are absent in the available models.

Текст научной работы на тему «Химико-механическое полирование. Часть 1. Основные закономерности: обзор»

Р.В. Гольдштейн, М.Н. Осипенко

Институт проблем механики им. А.Ю. Ишлинского РАН, Москва, Россия

ХИМИКО-МЕХАНИЧЕСКОЕ ПОЛИРОВАНИЕ. ЧАСТЬ 1. ОСНОВНЫЕ ЗАКОНОМЕРНОСТИ: ОБЗОР

Химико-механическое полирование (ХМП) - перспективная технология микро- и наноэлектроники. Аналитический обзор показывает, что к настоящему времени разработка моделей ХМП остается актуальным научным направлением. Отмечено, что известные модели процесса ХМП не учитывают специфику химических и механических аспектов взаимодействия рабочей жидкости и частиц с полируемой поверхностью, а также взаимодействие с ней пористой поверхности вязкоупругого полировальника, отсутствует описание элементарных актов такого взаимодействия.

Ключевые слова: химико-механическое полирование, абразив, жидкость, планаризация, модель.

R.V. Goldstein, M.N. Osipenko

Institute for Problem in Mechanics RAS, Moscow, Russia

CHEMICAL-MECHANICAL POLISHING. PART 1. MAIN CHARACTERISTIC: REVIEW

Chemical-mechanical polishing (CMP) is a perspective technology in fabrication of micro - and nanoelectronics elements, devices and systems. The development of models of CMP processes remains to be the actual problem. It is pointed out that known CMP models do not account for the features of chemical and mechanical mechanisms of interaction of active fluid and particles with a polished surface as well as an interaction of a viscoelastic pad with the surface. A description of the elementary acts of such interaction are absent in the available models.

Keywords: chemical-mechanical polishing, abrasive, liquid, planarization, model.

Введение

Химико-механическое полирование (ХМП) - широко распространенная технология в производстве элементов приборов и устройств микро- и наноэлектроники [1]. Уникальная комбинация химического и механического воздействия рабочей жидкости, абразивных частиц и относительно мягкого полировальника с полируемой поверхностью приводит к эффективному полированию и выравниванию (пла-наризации) однородных (кремний) и составных (кремний-металл) пло-

ских элементов при высокой чистоте получаемых поверхностей. ХМП представляет собой характерный пример взаимодействия нано-, микро-и макромасштабов в технологическом процессе. Действительно, размеры абразивных частиц варьируются в диапазоне 7-100 нм, их агрегатов - до 10 мкм, скорость полирования - от 1-5 до 500 нм/мин, зазор между обрабатываемой поверхностью и полировальником - 10 до 50 мкм [1-3].

Процесс ХМП многопараметрический, его экспериментальное исследование сопровождается большими затратами, эмпирические данные о конкретных вариантах процесса, как правило, являются собственностью предприятий и компаний. Все эти факторы указывают на важность привлечения модельных представлений процессов ХМП на основе фундаментальных физических закономерностей для решения задач их оптимизации. Ниже приведен обзор современного состояния проблемы.

1. Влияние технологических параметров на характеристики химико-механического полирования

Химико-механическое полирование (ХМП) - это технология полирования и выравнивания (планаризации) поверхности с высокой точностью. Обрабатываемая поверхность и полировальник (рис. 1) находятся во вращательном движении относительно друг друга под давлением в присутствии рабочей жидкости (содержащей обычно щелочную среду и абразив). Обойма с деталью монтируется в шарнирном подвесе, позволяющем ей адаптироваться к условиям полирования, предотвращая поломку обрабатываемой пластины на полировальнике и улучшая однородность воздействия [4, 5]. Используется система охлаждения вращающегося полировального столика.

Параметры процесса ХМП и его результаты зависят от механических характеристик контактирующих тел, таких как жесткость, твердость, шероховатость и сжимаемость. Поверхность обрабатываемой пластины обычно жесткая и хрупкая, в то время как полировальник имеет относительно мягкое пористое (полиуретановое) покрытие [1-3, 6-7]. Поверхностный слой полировальника обеспечивает транспорт рабочей жидкости к полируемой поверхности, а также совместно с распределенными в рабочей жидкости частицами абразива обеспечивает удаление продуктов реакции с обрабатываемой поверхности [1, 2, 6].

Рис. 1. Схема процесса полирования

Усилие прижатия - основной механический параметр, влияющий на процессы взаимодействия полировальника и детали. Обычно наблюдаемое соотношение между скоростью полирования (скоростью удаления продуктов локального разрушения с полируемой поверхности) в режиме абразивного износа (рис. 2, а) коррелирует с эмпирическим законом Престона, предложенным в 1927 году [1, 6]. В соответствии с этим законом скорость полирования Я определяется формулой

Я = т—, А

(1)

где Г - нагрузка, приложенная к области контакта А, V - скорость относительного движения полировальника и детали, т - коэффициент (т ассоциируется с коэффициентом трения).

Позднее была обнаружена взаимосвязь между коэффициентом т и жесткостью полировальника и частиц кремния [6].

Процесс усложняется в присутствии химически активной рабочей жидкости. Взаимосвязь между усилием прижатия и скоростью полирования оказывается немонотонной [6]. При малом усилии скорость полирования в присутствии рабочей жидкости не зависит от усилия прижатия. Однако затем происходит резкое возрастание скорости полирования. Слишком сильное прижатие приводит к падению скорости полирования (рис. 2, б). Причина такой немонотонности связана с изменением толщины слоя рабочей жидкости [6] под влиянием усилия прижатия. В соответствии с гидродинамической теорией смазки [8] толщина слоя вязкой смазки к при ламинарном течении может быть определена через усилие прижатия как

к = к

V Р у

(2)

где V - кинематическая вязкость рабочей жидкости, к -константа.

Оценки, данные в [6, 9], показывают, что в рамках упрощенной модели с жестким полировальником для условий, описанных в [6], толщина слоя рабочей жидкости к~60^100 мкм. Следовательно, при слабом прижатии действительно возможно полное разделение (всплытие) контактирующих тел. Уменьшение скорости полирования при высоких значениях усилия прижатия связывается с выдавливанием рабочей жидкости из зоны контакта.

Рис. 2. Скорость полирования БЮ2 как функция нагрузки: а - абразивный износ [2], б - взаимодействие через слой жидкости [4]

Увеличение усилия прижатия способствует повышению скорости полирования и скорости износа поверхности полировальника [1, 2], но только при низкой скорости полирования (и малом усилии прижатия) получается поверхность с наименьшей шероховатостью [4].

Для полирования кремниевых пластин обычно используются щелочные рабочие жидкости, в которых поддерживается необходимый уровень рН. Регулирование вязкости производят добавлением воды. Имеющиеся эмпирические данные показывают, что уровень рН регулирует скорость химического взаимодействия и максимально возможную скорость полирования. Однако эти данные противоречивы. Так, в [4, 6] наблюдалось монотонное увеличение скорости полирования при возрастании уровня рН (при pH >8,5). В то же время в [10, 11] получена немонотонная взаимосвязь скорости полирования и pH (рис. 3). Противоположная тенденция была обнаружена в [4] в том же диапазоне рН.

В обоих случаях была использована рабочая жидкость на базе SC-1. Наблюдаемая разница может быть связана с влиянием добавок.

Это обстоятельство делает трудным сравнение данных различных источников. Противоречия существуют и в интерпретации экспериментальных данных. Так, присутствие пика объясняется в [10] резким изменением гидрофобности полируемой поверхности в очень узком интервале величин рН. С другой стороны, этот эффект не наблюдается в [4, 6], а возможное уменьшение скорости полирования связывается с деградацией абразивных частиц в рабочей жидкости [4]. Скорость полирования зависит от локальной температуры в зоне реакции. Так, она возрастает на порядок при увеличении температуры с 30 до 80 °С [4] (рис. 4).

Скорость

01__1___._.__.__.___.__._

0 4 8 12 pH

Рис. 3. Взаимосвязь скорости Рис. 4. Взаимосвязь скорости полирования

полирования и рН по [10] кремниевой пленки и температуры по [4]

Характер этой зависимости отличается от экспоненты, обычно присущей температурным эффектам в физико-химических процессах подобного типа. Качественное объяснение этого отличия в [4] связывается с диссипацией теплового потока в зоне реакции. Однако такое объяснение представляется недостаточным, так как рост температуры различным образом сдвигает химическое равновесие для разных реакций на поверхности раздела рабочей жидкости и полируемой поверхности, а также в процессах диффузии. В результате могут реализоваться различные механизмы отделения частиц полируемого материала

при воздействии абразивных частиц. К уровню рН очень чувствительна вязкость рабочей жидкости. Вязкость высока вплоть до pH ~ 7,5 [7, 12]. Затем она резко падает и остается практически постоянной при pH > 8,5. Это явление объясняется усилением электростатического от-

талкивания при pH > 7,5, что приводит к разделению коллоидных частиц. По этой причине можно ожидать существенных изменений вязкости в ходе процесса полирования, так как локальная концентрация компонентов при полировании меняется и локальный уровень рН уменьшается [10, 12]. В свою очередь, изменение вязкости влияет на гидродинамику и механические процессы в зоне реакции.

В рабочей жидкости диспергированы частицы абразива. В ХМП используется широкий круг абразивных материалов. Он включает А1203, SiO2, SiC2, Si3N4, алмаз и др. [13]. Диапазон размеров частиц от 7^10 нм до 10 мкм [1, 16, 17]. Распределение абразивных частиц по размерам влияет на скорость полирования. Более того, одна из проблем процесса планаризации состоит в образовании микроцарапин на обрабатываемой поверхности. Глубина царапин коррелирует с максимальным размером абразивных частиц или их конгломератов. Часто используют округлые частицы Si02 размером 20^70 нм [4, 13]. Частицы очень малы, но могут образовывать конгломераты [13]. Последние также могут группироваться в сети или цепочки. Образуется некоторое количество очень больших частиц ~10 мкм [13]. Для их удаления используются специальные фильтры. Процесс объединения частиц чувствителен к уровню рН в рабочей жидкости. Таким образом, величина рН влияет как на вязкость рабочей жидкости, так и на тенденцию к агрегированию абразивных частиц при полировании.

На скорость полирования сильно влияет концентрация абразивных частиц в рабочей жидкости. Когда разбавление невелико, увеличивается число агрегатов на полировальнике. Если разбавление велико, скорость полирования падает как результат уменьшения количества абразивных частиц на границе. Заметим, что, как показано в [6], скорость полирования стремится к нулю, если уменьшается до нуля концентрация абразивных частиц, в то же время данные [4] показывают, что в подобной ситуации, связанной с высоким уровнем разбавления, скорость полирования стабилизируется на уровне ~ 5 нм/мин.

Зависимость скорости полирования от скорости относительного движения полировальника и обоймы немонотонна и чувствительна к составу абразивных частиц [6]. В приведенном исследовании максимум наблюдался при 2 % весовой концентрации частиц (рис. 5).

Скорость

полирования

нм/мин

80

01___I___1__1___1__1___I___1__i___L

0 0.4 0.8 1.2 1.6

Скорость, м/с

Рис. 5. Взаимосвязь скорости полирования и скорости относительного движения полировальника и обоймы для указанного весового содержания частиц [6]

Для планаризации сложных кусочно-однородных поверхностей используются специальные многостадийные технологии ХМП. Эти технологии включают нанесение и удаление пассивационных слоев и масок [12]. Проблемы полирования кусочно-однородных поверхностей анализируются в [12, 14-16]. Трудности одновременной планаризации больших и малых элементов поверхности привели к развитию специальных ХМП-технологий, которые способны преодолеть эффект искажения профиля полирования (dishing) [1, 15]. Это явление связано с более интенсивным абразивным износом относительно мягких участков полируемой поверхности, релаксацией деформации полировальника, а также с возможным различием механизмов удаления материала в зонах механического и гидродинамического контакта между полировальником и полируемой поверхностью [14].

2. Модели процесса ХМП

Одна из основных проблем теории ХМП заключается в уточнении относительной роли механических и химических явлений в полировании и планаризации [17]. В некоторых работах ХМП рассматривается как преимущественно механический процесс [7, 18, 19-22], в других -как химический [3, 10, 11, 23].

Механическое модельное представление опирается на исследование зависимости скорости полирования от параметров контактного взаимодействия сферических абразивных частиц и полируемой поверхности [17, 22]. Эмпирический анализ, как правило, основывается на со-

отношениях, подобных закону Престона (1). С механической точки зрения процесс полирования представляется подобным трению. Поэтому трибологические модели, в частности модели контакта через слой смазки, широко используются для описания процесса полирования [20, 24]. Течение смазки моделируется в соответствии с гидродинамической теорией смазки Петрова-Рейнольдса [8]. Химический подход к моделированию ХМП основан на предположении, что химические реакции регулируют основные процессы технологии полирования, и скорость полирования и его качество непосредственно зависят от скорости этих химических процессов.

Массоперенос определяется относительными скоростями следующих процессов: растворением поверхностного слоя под нагрузкой; движением раствора в поверхностном слое; адсорбцией отделившихся (растворенных) масс на поверхности частиц; скоростью обратного осаждения отделившихся масс; нарушением поверхности сталкивающихся частиц [8].

Теоретические работы в области ХМП можно найти в [9, 18, 19, 20]. В этих статьях основное внимание уделяется гидродинамическим аспектам процесса полирования. Полировальник считается жестким телом [20]. Полировальник и полируемая поверхность считаются плоскими или имеющими фиксированную кривизну. На границе принимается условие течения без проскальзывания. Рабочая жидкость, содержащая абразивные частицы, считается несжимаемой ньютоновской жидкостью с постоянной вязкостью.

Ламинарное течение рабочей жидкости описывается как стационарное течение трехмерными уравнениями Навье-Стокса. Область течения принимается в виде очень тонкого плоского диска. На внешней границе принимается условие отсутствия давления. Скорость относительного движения верхней и нижней поверхностей диска считается пропорциональной текущему радиусу вращения и угловой скорости данной точки. Краевая задача решается численно методом Галеркина и методом конечных элементов.

Толщина слоя жидкости определяется двумя условиями. Во-первых, слой жидкости при полировании должен поддерживать обойму с обрабатываемой пластиной и приложенную к ним нагрузку. Второе условие связано с требованием относительно момента силы, воспринимаемого слоем жидкости. Этот момент должен иметь компонен-

ты, равные нулю в плоскости, перпендикулярной оси вращения обоймы, так как механизм не поддерживает момент в этой плоскости.

Численные решения демонстрируют зависимость толщины слоя рабочей жидкости от основных параметров процесса, таких как кривизна обрабатываемой пластины, вязкость рабочей жидкости и скорость вращения. Показано, что для типичных параметров ХМП характерна толщина слоя порядка 10^50 мкм. Толщина слоя увеличивается при увеличении вязкости рабочей жидкости. Этот результат коррелирует с трибологической моделью (2) [8].

Эрозионная модель имеет целью соединить химические эффекты, моделируемые в масштабе абразивной частицы, с процессами в масштабе всей обрабатываемой поверхности [9]. Это двумерная модель. Толщина слоя рабочей жидкости принимается в диапазоне между 10 и 50 мкм, как показано в упомянутой гидродинамической модели [20]. Полировальник представлен жесткой ровной поверхностью. Обрабатываемая пластина представлена таким же образом. Кроме того, на ее границе существует начальный дефект (выступ). Поверхность пластины изменяется при полировании за счет эрозии. Уравнение эрозии принято в виде

уп = /(о, (,), ап (I)), (3)

где уп - скорость эрозии в направлении нормали к поверхности пластины, / - эмпирическое соотношение химической и механической эрозии, оп и о, - нормальное и касательное напряжения в данной точке поверхности пластины.

Функция / была выбрана в эмпирической форме:

/ = кР(оп)(о, + В°2,), (4)

где р(оп) - среднее давление, а к и Б - константы. Считается, что химические реакции и скорость диффузии влияют на величину давления.

Профиль обрабатываемой пластины, предсказанный моделью, показал хорошее соответствие форме эрозионного профиля, полученного в эксперименте. Анализировалась также зависимость качества планаризации от характерных параметров системы.

Упомянутые модели были модифицированы [18, 19] с использованием модели Варнака [21] и комбинации модели контактного (эрозионного) разрушения и гидродинамического разрушения.

Согласно модели Варнака [21] скорость эрозии может быть представлена следующим образом:

у, =-4, (1 + Б (X *)), (5)

где у0 - средняя скорость удаления материала (скорость полирования), Б(х,*) - отклонение от средней скорости.

Представляется, что величина у0 не зависит от размера и плотности дефектов. Для достаточно большой длины профиля Ь можно записать

1 г

Ь IУ«^ = Уо (7)

Ь Ь

или

IБ (х, * ) = 0. (8)

Ь

Дискретная форма предыдущего уравнения приобретает вид

X Б, (»)Дх, = 0, (9)

,=1

где принято, что Бг-(х,*)=Бг-(*), N - количество точек на кривой Ь.

Скорость эрозии изменяется от точки к точке из-за эффекта «тени». Она уменьшается благодаря этому эффекту на величину

Ду, -1, (10)

где Б, - «теневой фактор» точки ,.

«Затенение» происходит со стороны выступа (стены) из-за уменьшения там скорости течения. Такая модифицированная модель способна улучшить описание эрозии в разных масштабах, включая эффекты множественного влияния.

Модель, объединяющая процессы гидродинамической эрозии и контактного износа, считается наиболее перспективной [18]. С этой точки зрения механические аспекты ХМП наиболее важны при моделировании процессов в масштабе абразивной частицы.

Механическое взаимодействие между абразивными частицами и обрабатываемой пластиной исследовано в [22] в рамках статистической модели фрикционного износа. В модели считается, что сферические абразивные частицы в рабочей жидкости движутся между полировальником и обрабатываемой поверхностью. В [25], кроме этого, принято, что скорость у абразивных частиц при этом представляет собой линейную комбинацию скоростей двух пограничных поверхностей щели у1 и у2 соответственно:

у = а,1у1 +а2у2, (10)

где а1 и а2 - вероятность контакта (прилипания) частиц с поверхностями 1 и 2 соответственно. Средняя величина этих вероятностей оценивается через твердость по Бринеллю (ИБ1) и (НВ2) поверхностей 1 и

2 соответственно

НВ2 ИБ1

а, =------2---, а2 =-------1---, (11)

1 НВ1 + НВ2 2 ИБ1 + ИВ2

а1 +а2 = 1.

Как результат анализа в [22] получено уравнение скорости полирования, подобное закону Престона. Отличие состоит в том, что коэффициент пропорциональности вычисляется по твердости и модулю упругости полировальника и пластины.

Один из возможных механизмов отделения частиц материала от обрабатываемой поверхности может также базироваться на эффекте Ребиндера-Вествуда [26].

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Комплексный подход к построению модели ХМП начинает преобладать в современных работах. Так, в [27] построена модель, учитывающая многие детали процесса. Основное предположение, используемое при этом, - пластический характер абразивного контакта частицы как с полируемой поверхностью, так и с полировальником при нормальном распределении частиц по размерам и периодическом характере шероховатости полировальника. Влияние химического взаимодействия учитывается введением параметра динамической твердости поверхности. Считается, что акты абразивного взаимодействия происходят только на выпуклостях отдельных шероховатостей поли-

ровальника. В работе продемонстрировано экспериментальное подтверждение полученных соотношений для скорости полирования.

Детальное изучение конкретных элементов процесса и смежных технологических процедур становится объектом численных методов анализа. К ним относится, например, использование конечно-элементного анализа для построения профиля осажденного покрытия [28] или расчет напряженного состояния полируемой поверхности [29].

Приведенный обзор показывает, что известные модели процесса ХМП основаны на традиционных постановках задач механики контактного взаимодействия, абразивного износа и теории смазки. Эти модели не учитывают взаимодействие с полируемой поверхностью пористого вязкоупругого полировальника, а также механизм реализации элементарных актов такого взаимодействия.

Экспериментальные данные, приводимые в различных источниках, иногда противоречат друг другу, что является признаком недостаточного понимания базовых явлений в изучаемой области и препятствует интерпретации данных. Об этом также свидетельствует отсутствие данных по некоторым важным деталям процесса, таким как химические аспекты микромеханического взаимодействия абразивных частиц с поверхностью, условия и механизмы адгезии продуктов полирования с частицами, полируемой поверхностью, а также с поверхностью полировальника.

Таким образом, к настоящему времени не полностью сложилось понимание совокупности явлений, происходящих в рабочей зоне полирования, и разработка моделей, качественно и количественно адекватных технологическим процессам ХМП, остается актуальным научным направлением.

Работа выполнена при поддержке Программы фундаментальных исследований Президиума РАН № 13.

Библиографический список

1. Zantye P.B., Kumar A., Sikder A.K. Chemical mechanical planari-sation for microelectronics applications // Material Sci. and Engineering. -2004. - R. 45. - P. 89-220.

2. Chemical-mechanical polishing: process manufacturability / R. Jai-rath [et al.] // Solid State Technology. - 1994. - No. 7. - Р. 71-75.

3. Polishing and material characteristics of plasma-enhanced chemically vapor deposited fluorinated oxide thin film / W.-T. Tseng [et al.] // Journal of Electrochemical Society. - 1997. - Vol. 144, No. 3. - P. 1100-1106.

4. Yasseen A.A., Mourlas N.J., Mehregany M. Chemical-mechanical polishing for polysilicon surface micromachining // Journal of Electrochemical Society. - 1997. - Vol. 144, No. 1. - P. 237-242.

5. Worthington E. New CMP arhitecture address key process issues // Solid State Technology. - 1996. - No. 1. - P. 61-62.

6. Bhushan M., Rouse R., Lukens J.E. Chemical-mechanical polishing in semidirect contact mode // Journal of Electrochemical Society. - 1995. -Vol. 142, No. 11. - P. 3845-3851.

7. Ali I., Roy S.R., Shin G. Chemical-mechanical polishing of interlayer dielectric: A review // Solid State Technology. - 1994. - No. 10. - P. 63-69.

8. Tribology principles and design applications / R.D. Arnell [et al.]. -N.Y.: Springer-Verlag, 1991. - Chap. 5. - P. 124-160.

9. Runnels S.R. Feature-scale fluid-based erosion modeling for Chemical-Mechanical Polishing // Journal of Electrochemical Society. -1994. - Vol. 141, No. 7. - P. 1900-1904.

10. Pietsch G.J., Chabal Y.J., Higashi G.S. Infrared-absorption spectroscopy of Si (100) and Si (111) surfaces after chemomechanical polishing // Journal of Applied Physics. - 1995. - Vol. 78, No. 3. - P. 1650-1658.

11. Chemomechanical Polishing of silicon carbide / L. Zhou [et al.] // Journal of Electrochemical Society. - 1997. - Vol. 144, No. 6. - P. L161-L164.

12. A novel planarization of oxide-filled shallow-trench isolation / J.-Y. Cheng [et al.] // Journal of Electrochemical Society. - 1997. -Vol. 144, No. 1. - P. 315-320.

13. Pohl M.C., Griffiths D.A. The importance of particle size to the performance of abrasive particles in the CMP process // Journal of Electronic Materials. - 1996. - Vol. 25, No. 10. - P. 1612-1616.

14. Smehalin K., Fertig D. Microscale dishing effect in a chemical mechanical planarization process for trench isolation // Journal of Electrochemical Society. - 1996. - Vol. 143, No. 12. - P. 1281-1283.

15. Boyd J.M., Ellul J.P. Near-global planarization of oxide-filled shallow trenches using chemical mechanical polishing // Journal of Electrochemical Society. - 1996. - Vol. 143, No. 11. - P. 3718-3721.

16. Boyd J.M., Ellul J.P. A one-step shallow trench global planarization process using chemical mechanical polishing. Journal of Electrochemical Society. - 1997. - Vol. 144, No. 5. - P. 1838-1841.

17. Cook L.M. Chemical processes in glass polishing // Journal of NonCrystalline Solids. - 1990. - Vol. 120. - P. 152-171.

18. Runnels S.R. Advances in physically based erosion simulators for CMP // Journal of Electronic Materials. - 1966. - Vol. 25, No. 10. -P. 1574-1580.

19. Runnels S.R., Olavson T. Optimizing wafer polishing through phenomenological modelling // Journal of Electrochemical Society. - 1995. -Vol. 142, No. 6. - P. 2032-2036.

20. Runnels S.R., Eyman L.M. Tribology analysis of chemical-mechanical polishing // Journal of Electrochemical Society. - 1994. -Vol. 141, No. 6. - P. 1698-1701.

21. Warnock J. A two-dimensional process model for chemimechani-cal polish planarization // Journal of Electrochemical Society. - 1991. -Vol. 138, No. 8. - P. 2398-2402.

22. Modeling of the wear mechanism during chemical-mechanical polishing / C.-W. Liu [et al.] // Journal of Electrochemical Society. - 1996. -Vol. 143, No. 2. - P. 716-721.

23. Pietsch G.J., Higashi G.S., Chabal Y.J. Chemomechanical polishing of silicon: surface termination and mechanism of removal // Applied Physics Letters. - 1994. - Vol. 64, No. 23. - P. 3115-3117.

24. Kneer E.A., Raghunath C., Raghavan S. Electrochemistry of chemical vapor deposited tungsten films with relevance to chemical mechanical polishing // Journal of Electrochemical Society. - 1996. - Vol. 143, No. 12. - P. 4095-4100.

25. Kragelsky I.V., Dobychin M.N., Kombarov V.S. Friction and wear,calculation methods. - N.Y.: Pergamon Press, Ltd, 1982. - Chap. 11. -P. 352-366

26. Rajan K. Chemical-mechanical polishing of oxide thin films: The Rebinder-Westwood phenomenon revisited // Journal of Electronic Materials. - 1996. - Vol. 25. - No. 10. - P. 1581-1584.

27. Luo J., Dornfeld D.A. Material removal mechanism in chemical mechanical polishing: theory and modelling // IEEE transactions on semiconductor manufacturing. - Vol. 14, No. 2. - 2001. - P. 112-133.

28. Multiple scale integrated modeling of deposition processes / T.R. Merchant [et al.] // Thin Solid Films. - 2000. - No. 365. - P. 368-375.

29. Lin Y.-Y., Chen D.-Y., Ma C. Simulations of a stress and contact model in a chemical mechanical polishing process // Thin Solid Films. -2009. - No. 517.- P. 6027-6033.

References

1. Zantye P.B., Kumar A., Sikder A.K. Chemical mechanical planari-sation for microelectronics applications. Material Sci. and Engineering. 2004. - R. 45. - P. 89-220.

2. Jairath R. [and all] Chemical-mechanical polishing: process manufacturability. Solid State Technology. 1994. - No. 7. - P. 71-75.

3. Tseng W.-T. Polishing and material characteristics of plasma-enhanced chemically vapor deposited fluorinated oxide thin film. Journal of Electrochemical Society. 1997. - Vol. 144, No. 3. - P. 1100-1106.

4. Yasseen A.A., Mourlas N.J., Mehregany M. Chemical-mechanical polishing for polysilicon surface micromachining. Journal of Electrochemical Society. 1997. - Vol. 144, No. 1. - P. 237-242.

5. Worthington E. New CMP arhitecture address key process issues. Solid State Technology. 1996. - No. 1. - P. 61-62.

6. Bhushan M., Rouse R., Lukens J.E. Chemical-mechanical polishing in semidirect contact mode. Journal of Electrochemical Society. 1995. -Vol. 142, No. 11. - P. 3845-3851.

7. Ali I., Roy S.R., Shin G. Chemical-mechanical polishing of interlayer dielectric: A review. Solid State Technology. 1994. - № 10. - P. 63-69.

8. Arnell R.D. Tribology principles and design applications. N.Y.: Springer-Verlag, 1991. - Chap. 5. - P. 124-160.

9. Runnels S.R. Feature-scale fluid-based erosion modeling for Chemical-Mechanical Polishing. Journal of Electrochemical Society. -1994. - Vol. 141, No. 7. - P. 1900-1904.

10. Pietsch G.J., Chabal Y.J., Higashi G.S. Infrared-absorption spectroscopy of Si (100) and Si (111) surfaces after chemomechanical polishing. Journal of Applied Physics. 1995. - Vol. 78, No. 3. - P. 1650-1658.

11. Zhou L. Chemomechanical Polishing of silicon carbide. Journal of Electrochemical Society. 1997. - Vol. 144, No. 6. - P. L161-L164.

12. Cheng J.-Y. A novel planarization of oxide-filled shallow-trench isolation. Journal of Electrochemical Society. 1997. - Vol. 144, No. 1. -P.315-320.

13. Pohl M.C., Griffiths D.A. The importance of particle size to the performance of abrasive particles in the CMP process. Journal of Electronic Materials, 1996. - Vol. 25, No. 10. - P. 1612-1616.

14. Smehalin K., Fertig D. Microscale dishing effect in a chemical mechanical planarization process for trench isolation. Journal of Electrochemical Society, 1996. - Vol. 143, No. 12. - P. 1281-1283.

15. Boyd J.M., Ellul J.P. Near-global planarization of oxide-filled shallow trenches using chemical mechanical polishing. Journal of Electrochemical Society, 1996. - Vol. 143, No. 11. - P. 3718-3721.

16. Boyd J.M., Ellul J.P. A one-step shallow trench global planarization process using chemical mechanical polishing. Journal of Electrochemical Society, 1997. - Vol. 144. - No. 5. - P. 1838-1841.

17. Cook L.M. Chemical processes in glass polishing. Journal of NonCrystalline Solids, 1990. - Vol. 120. - P. 152-171.

18. Runnels S.R. Advances in physically based erosion simulators for CMP. Journal of Electronic Materials, 1966. - Vol. 25, No. 10. -P.1574-1580.

19. Runnels S.R., Olavson T. Optimizing wafer polishing through phenomenological modeling. Journal of Electrochemical Society, 1995. -Vol. 142, No. 6. - P. 2032-2036.

20. Runnels S.R., Eyman L.M. Tribology analysis of chemical-mechanical polishing. Journal of Electrochemical Society, 1994. - Vol. 141, No. 6. - P. 1698-1701.

21. Warnock J. A two-dimensional process model for chemimechani-cal polish planarization. Journal of Electrochemical Society, 1991. -Vol. 138, No. 8. - P. 2398-2402.

22. Liu C.-W. Modeling of the wear mechanism during chemical-mechanical polishing. Journal of Electrochemical Society, 1996. - Vol. 143, No. 2. - P. 716-721.

23. Pietsch G.J., Higashi G.S., Chabal Y.J. Chemomechanical polishing of silicon: surface termination and mechanism of removal. Applied Physics Letters, 1994. - Vol. 64, No. 23. - P. 3115-3117.

24. Kneer E.A., Raghunath C., Raghavan S. Electrochemistry of chemical vapor deposited tungsten films with relevance to chemical mechanical polishing. Journal of Electrochemical Society, 1996. - Vol. 143, No. 12. - P. 4095-4100.

25. Kragelsky I.V., Dobychin M.N., Kombarov V.S. Friction and wear,calculation methods. N.-Y.:Pergamon Press, Ltd, 1982. - Chap. 11. -P.352-366

26. Rajan K. Chemical-mechanical polishing of oxide thin films: The Rebinder-Westwood phenomenon revisited. Journal of Electronic Materials, 1996. - Vol. 25, No. 10. - P. 1581-1584.

27. Luo J., Dornfeld D.A. Material removal mechanism in chemical mechanical polishing: theory and modeling. IEEE transactions on semiconductor manufacturing, - Vol. 14, No. 2. - 2001. - P. 112-133.

28. Merchant T.R. Multiple scale integrated modeling of deposition processes. Thin Solid Films, No. 365. - 2000. - P. 368-375.

29. Lin Y.-Y., Chen D.-Y., Ma C. Simulations of a stress and contact model in a chemical mechanical polishing process. Thin Solid Films, No. 517. - 2009. - P. 6027-6033.

Получено 3.06.2011

i Надоели баннеры? Вы всегда можете отключить рекламу.