Научная статья на тему 'GAS-PHASE PARAMETERS AND SILICON ETCHING KINETICS IN C6F12O + O2 PLASMA'

GAS-PHASE PARAMETERS AND SILICON ETCHING KINETICS IN C6F12O + O2 PLASMA Текст научной статьи по специальности «Физика»

CC BY
75
12
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
PLASMA / PARAMETERS / ACTIVE SPECIES / IONIZATION / DISSOCIATION / ETCHING

Аннотация научной статьи по физике, автор научной работы — Efremov Alexander M., Betelin Vladimir B., Kwon Kwang-Ho

In this work, we investigated gas-phase plasma characteristics and reactive-ion etching kinetics of silicon in the C6F12O + O2 gas mixture with using CF4 + O2 as the reference system. An interest to C6F12O is due to its low global warming potential (GWP) and totally unknown dry etching performance in respect to silicon-based materials. The research scheme included plasma diagnostics by Langmuir probes and optical emission spectroscopy as well as the 0-dimensional (global) modeling for CF4 + O2 plasma. The main focus was on electrons- and ions-related plasma parameters, densities of F and O atoms (as main etchants for target materials and fluorocarbon polymer film, respectively) as well as on Si etching rates vs. gas pressure (4-12 mTorr) and input power (200-600 W). It was shown that both gas systems exhibit similar behaviors of plasma density, ion flux and ion bombardment energy while the principal feature of the C6F12O + O2 plasma is the systematically lower density of both F and O atoms. Etching experiments indicated a) the negligible contribution of sputter etching; and b) identical changes in the chemical etching kinetics vs. processing parameters. The C6F12O + O2 plasma is featured by lower absolute etching rates (that correlates with differences in F atom fluxes) as well as by higher effective probabilities for Si + F reaction. Perhaps, the last phenomenon is due to heterogeneous processes involving oxygen atoms.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «GAS-PHASE PARAMETERS AND SILICON ETCHING KINETICS IN C6F12O + O2 PLASMA»

DOI: 10.6060/ivkkt.20226504.6534 УДК: 537.525

ПАРАМЕТРЫ ГАЗОВОЙ ФАЗЫ И КИНЕТИКА ТРАВЛЕНИЯ КРЕМНИЯ

В ПЛАЗМЕ C6F12O + O2

А.М. Ефремов, В.Б. Бетелин, K.-H. Kwon

Александр Михайлович Ефремов*

Ивановский государственный химико-технологический университет, Шереметевский просп., 7, Иваново, Российская Федерация, 153000 E-mail: amefremov@mail.ru*

Владимир Борисович Бетелин

ФГУ ФНЦ НИИСИ РАН, Нахимовский просп., 36, к.1, Москва, Российская Федерация, 117218 E-mail: betelin@niisi.msk.ru

Kwang-Ho Kwon

Korea University, 208 Seochang-Dong, Chochiwon, Korea, 339-800 kwonkh@korea.ac.kr

В данной работе исследованы характеристики газовой фазы и кинетика реактивно-ионного травления кремния в плазме CeFnO + O2 с использованием смеси CF4 + O2 в качестве системы сравнения. Интерес к CeFnO обусловлен низким потенциалом глобального потепления (ПГП) и недостаточной изученностью в плане сухого травления материалов на основе кремния. Схема исследования включала диагностику плазмы с помощью зондов Ленгмюра и оптической эмиссионной спектроскопии, а также 0-мерное (глобальное) моделирование плазмы смеси CF4 + O2. Основное внимание уделялось параметрам электронной и ионной компонент плазмы, концентрациям атомов F и O (как основных активных частиц при травлении основного материала и фторуглеродной полимерной пленки, соответственно), а также скорости травления Si в зависимости от давления газа (4-12 мТорр) и вкладываемой мощности (200-600 Вт). Было показано, что обе системы демонстрируют одинаковое поведение плотности плазмы, потока ионов и энергии ионной бомбардировки, при этом основной особенностью плазмы CeFnO + O2 является систематически более низкие концентрации атомов F и O. Эксперименты по травлению показали: а) незначительный вклад физического распыления в общую скорость процесса; и б) идентичные изменения кинетики гетерогенной химической реакции в зависимости от параметров обработки. Плазма CeFnO + O2 характеризуется более низкими абсолютными скоростями травления (что коррелирует с различиями в потоках атомов F), а также более высокими эффективными вероятностями реакции Si + F. Последний эффект предположительно обусловлен гетерогенными процессами с участием атомов кислорода.

Ключевые слова: C6F12O, CF4, плазма, параметры, активные частицы, ионизация, диссоциация, травление

GAS-PHASE PARAMETERS AND SILICON ETCHING KINETICS IN C6F12O + O2 PLASMA

A.M. Efremov, V.B. Betelin, K.-H. Kwon

Alexander M. Efremov*

Ivanovo State University of Chemistry and Technology, Sheremetevskiy ave., 7, Ivanovo, 153000, Russia E-mail: amefremov@mail.ru*

Vladimir B. Betelin

SRISA RAS, Nakhimovsky ave., 36, bld. 1, Moscow, 117218, Russia E-mail: betelin@niisi.msk.ru

Kwang-Ho Kwon

Korea University, 208 Seochang-Dong, Chochiwon, Korea, 339-800 E-mail: kwonkh@korea.ac.kr

In this work, we investigated gas-phase plasma characteristics and reactive-ion etching kinetics of silicon in the C6F12O + O2 gas mixture with using CF4 + O2 as the reference system. An interest to C6F12O is due to its low global warming potential (GWP) and totally unknown dry etching performance in respect to silicon-based materials. The research scheme included plasma diagnostics by Langmuir probes and optical emission spectroscopy as well as the 0-dimensional (global) modeling for CF4 + O2 plasma. The main focus was on electrons- and ions-related plasma parameters, densities of F and O atoms (as main etchants for target materials and fluorocarbon polymer film, respectively) as well as on Si etching rates vs. gas pressure (4-12 mTorr) and input power (200-600 W). It was shown that both gas systems exhibit similar behaviors of plasma density, ion flux and ion bombardment energy while the principal feature of the C6F12O + O2 plasma is the systematically lower density of both F and O atoms. Etching experiments indicated a) the negligible contribution of sputter etching; and b) identical changes in the chemical etching kinetics vs. processing parameters. The C6F12O + O2 plasma is featured by lower absolute etching rates (that correlates with differences in F atom fluxes) as well as by higher effective probabilities for Si + F reaction. Perhaps, the last phenomenon is due to heterogeneous processes involving oxygen atoms.

Key words: C6F12O, CF4, plasma, parameters, active species, ionization, dissociation, etching

Для цитирования:

Ефремов А.М., Бетелин В.Б., Kwon K.-H. Параметры газовой фазы и кинетика травления кремния в плазме C6F12O + O2.

Изв. вузов. Химия и хим. технология. 2022. Т. 65. Вып. 4. С. 30-38 For citation:

Efremov A.M., Betelin V.B., Kwon K.-H. Gas-phase parameters and silicon etching kinetics in C6F12O + O2 plasma. Chem-

ChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2022. V. 65. N 4. P. 30-38

INTRODUCTION

Fluorocarbon gases historically play an important role in the electronic device production industry being used for dry patterning of silicon and silicon-based compounds [1-4]. Numerous existing studies of corresponding processes in conventional fluorocar-bons (CF4, CHF3, C2F6 and C4F8) allow one to summarize their basic features as follows:

1) All fluorocarbon-based plasmas always provide two parallel heterogeneous phenomena, such as the etching itself (the gasification of surface atoms in a form of volatile fluorides) and the polymerization (the growth of fluorocarbon polymer film on any surface exposed to plasma) [4-7]. The polymerization ability increases with increasing z/x ratio in the CxHyFz molecule [4, 5], and high-polymerizing fluorocarbons are featured by a) lower etching rates; b) higher etching residues; and c) better SiO2/Si etching selectivities and profile shapes [8, 9]. The latter is because the polymer film protects sidewalls from the reaction with F atoms [7-9].

2) The effective method to adjust the etching/polymerization balance is to mix the fluorocarbon gas with Ar and/or O2 [1, 4]. In particular, the fraction of additive component influences steady-state densities of both F atoms and polymerizing CFx (x = 1, 2) radicals [6-8] as well as changes the polymer destruction rate (and thus, the polymer film thickness) through the

sputtering by Ar+ ions or chemical etching by O atoms [10]. Accordingly, all these have a reflection on output process characteristics.

3) The interaction of F atoms with silicon has the spontaneous nature and produces the highly volatile SiF4 [4]. As a result, the corresponding etching rate demonstrates both exponential (as it follows from Ar-rhenius equation) growth with increasing surface temperature and very weak sensitivity to the ion bombardment intensity [1, 4].

The fundamental problem of all conventional fluorocarbon gases is their high global warming potentials (GWP). For instance, the GWP index (the value demonstrating how much times the environmental impact for given gas exceeds that for CO2) for CF4 is over 5000 [11, 12]. As such, the emission of gaseous fluo-rocarbons from plasma etching equipment may influence the future climate change. The evident way to reduce the fluorocarbon-related environmental pollution is to substitute conventional fluorocarbon gases for low-GWP compounds [13]. According to several recent studies [13, 14], the sufficient attention is attracted to the perfluorooxepane (C6F12O) which keeps the liquid state at temperatures below 50 °C (that provides the effective extraction of non-dissociated C6F12O from the output gas) as well as has the GWP index of 1. At the same time, unknown reaction pathways C6F12O molecule under the low-pressure discharge conditions do not allow one to evaluate the performance of this

gas in respect to dry etching technology. Therefore, research works focused on understanding of both plasma chemistry and etching mechanisms in C6F12O - based plasmas are very important steps in the development of eco-friendly dry etching technologies.

In our previous work [15], we attempted the study of C6F12O + Ar plasma with using the CF4 + Ar one as the reference system. As the latter has been studied in details by many authors (for example, Refs. [16-18]), the comparison of two data sets obtained under identical processing conditions clearly illustrates the features of the less-studied system. It was shown that both plasmas a) produce similar changes of F atoms density and ion flux; b) exhibit no principal difference in polymerizing abilities; and c) provide neutral-flux-limited etching regime for both Si and SiO2 under typical reactive-ion etching conditions. The main idea of given study was to reproduce the comparative research scheme for C6F12O + O2 and CF4 + O2 gas systems. Similarly to Ref. [15], main goals were to compare etching kinetics for Si, to determine differences in etching mechanisms (if those do exist) as well as to correlate all these with gas-phase plasma characteristics.

EXPERIMENTAL AND MODELING DETAILS

Experiments were conducted in the inductively coupled plasma (ICP) reactor known from our previous works [17-20]. Plasma was excited using the 13.56 MHz power supply while another 13.56 MHz rf generator powered the bottom electrode with a constant Wdc = 200 W to produce the negative dc bias voltage, -Udc. Initial compositions of CF4 + O2 and C6F12O + O2 gas mixtures were represented by equal amounts of corresponding components while variable parameters were input power (200-600 W) and gas pressure (4-12 mTorr).

Etching kinetics of silicon studied using fragments of Si (111) wafers without or with thermal oxide layer. Treated samples with an average size of ~ 2^2 cm were placed in the middle part of the bottom electrode. The latter has the built-in water-flow cooling system which allows one to maintain the nearly constant temperature of about ~ 17 °C. In order to determine silicon etching rate, we masked a part of sample surface by the photoresist and then, measured the step Ah between masked and non-masked areas using the surface profiler Alpha-Step 500 (Tencor). Corresponding etching rates were simply calculated as R = Ah/x, where x = 1 min is the processing time.

Electrons- and ions-related plasma parameters were monitored using the double Langmuir probe (DLP2000, Plasmart Inc.) and the high-voltage probe (AMN-CTR, Youngsin Eng.). In the first case, the treatment of measured I-V curves provided data on

electron temperature (Te) and ion current density (J+). In order to reduce experimental errors due to the deposition of fluorocarbon polymer on probe tips, these were exposed by 50% Ar + 50% O2 plasma for ~ 5 min before and after each experiment. As a result, the continuously recording I-V curves exhibited no principal differences within at least 5 min after plasma was turning on.

Steady-state densities of F and O atoms were determined using a combination of plasma diagnostics by the optical emission spectroscopy (OES) (AvaSpec-3648, JinYoung Tech) and plasma modeling. For this purpose, we selected a couple of actinometrical lines F 703.8 nm and O 777.2 nm which are featured by a) direct electron impact excitation mechanisms with known process cross-sections [21]; and b) low radia-tional lifetimes. Therefore, according to the well-known actinometrical approach, one can suggest I:(X)/I2(X) = A12[X]:/[X]2, where X = F or O, I is the measured emission intensity while subscripts «1» and «2» point out on CF4- and C6F^O-based plasmas, respectively. The coefficient A12 is the ratio of corresponding excitation functions as (kexne)1/(kexne)2, where kex = f(Te) is the excitation rate coefficient, and ne is the electron density. As such, if Te and ne in both gas systems are known, the independent determination of [F] and [O] the CF4 + O2 plasma (in particular, from plasma modeling) provides the ability to estimate these values in the C6F12O + O2 plasma.

In order to determine the effect of processing conditions on steady-state densities of F and O atoms in the CF4 + O2 plasma, we applied a simplified 0-di-mensional kinetic model [10, 22, 23]. The kinetic scheme (set of chemical reactions with related rate coefficients) was taken from our previous work [22]. As follows from Ref. [23], the given model approaches and algorithm provides the good agreement between mode-predicted and measured F atom density. Accordingly, as the F atom kinetics in the CF4 + O2 plasma is closely connected with the oxygen-containing species [22, 23, 24], the good correlation between model and experiment for [F] surely assumes the same situation for [O].

RESULTS AND DISCUSSION

Fig. 1 illustrates the influence of gas pressure and input power on Si etching rate in C6F12O + O2 and CF4 + O2 plasmas. From Refs. [4, 25], it can be understood that the overall etching rate under typical reactive-ion etching conditions (i.e. when the ion bombardment energy exceeds the sputtering threshold for target material) may be represented as the superposition of

partial rates for physical sputtering (Rphys) and chemical reaction (Rchem). The latter may exhibit either spontaneous (thermally-activated) or ion-assisted nature depending on the type of etched material and volatility of reaction products. In order to divide contributions of Rphys and Rchem to measured Si etching rate Rsi, we performed etching experiment in pure Ar and O2 plasmas under the same set of operating conditions. Corresponding etching rates were found to be very close one to each other as well as did not exceed 5 nm/min. Since this value is much lower than those shown in Fig. 1, the condition Rphys << Rchem is surely valid. For more accurate estimation of Rphys/Rchem ratio, one can refer for plasma diagnostics data represented in Tab. 1. The necessary steps are a) to determine the ion bombardment energy as Si = e(-Uf-Udc), where U8 = f(Te) [4] is the floating potential; b) to take the known dependence of Si sputtering yield Ys on the ion energy [26] (with correction according to an actual effective ion mass); and c) to evaluate the ion flux as T+« J+/e. Then, Rphys = Ysr+, and Rchem = Rsi - Rphys. From Fig. 1, it can be understood that the real contribution of Rphys to the overall etching rate in both gas systems does not exceed 12% at lowest gas pressure of 4 mTorr and highest input power of 600 W. As such, the chemical etching pathway surely dominates over the physical one while the obtained etching kinetics reflects the rate of heterogeneous chemical reaction. In addition, when analyzing data of Fig. 1 with accounting for the previous etching experience of Si in fluorocarbon-based plasmas [1-4], following conclusions can be made:

1) Similar changes of Si etching rate in both gas systems surely point out on similar etching regimes. The latter means, in fact, that etching processes in C6F12O- and CF4-based plasmas are driven by identical active species and have one and the same limiting stage. As such, the difference between these gas systems is only that the first one provides the systematically lower absolute etching rates. The low polymerizing ability of CF4 plasma at ion energies above 200 eV [4] as well as the presence of O2 in a feed gas allows one to neglect the polymer-thickness-controlled etching process. Therefore, the subject for further discussions is only the regime of an ion-assisted chemical reaction.

2) An increase in Si etching rate vs. gas pressure (for example, 76-85 nm/min in C6F12O + O2 and 105121 nm/min in CF4 + O2 for p = 4-12 mTorr at 400 W) is typical for either the spontaneous or the ion-assisted chemical reaction in the neutral-flux-limited mode. Otherwise, one can expect an opposite tendency according to decreases in both ion flux r+ and the parameter Si1/2r+ characterizing the ion bombardment intensity.

140 120

fi g

l^100 С

$ 80 • S 60

-C

о <D

Й 40 20 0

140 120 J 100

I 80

i 60

I 40 w

20 0

140 120

£

|100

it 80 • S 60

о

140

20 0

140 120 I 100 rf 80

200 300 400 500

600

200 300 400 500

600

200 300 400 500 600 Input power, W

d

Fig. 1. Silicon etching rates in C6F12O + O2 (a, b) and CF4 + O2 (c, d) plasmas as functions of input power at p = 4 mTorr (a, c) and 12 mTorr (b, d). Dashed lines illustrate partial rates of physical (1) and chemical (2) etching pathways Рис. 1. Скорости травления кремния в плазме C6F12O + O2 (a, b) и CF4 + O2 (c, d) в зависимости от вкладываемой мощности при p = 4 мТор (a, c) и 12 мТор (b, d). Пунктирные линии показывают парциальные скорости физического распыления (1) и химической реакции (2)

а

1 —

b

1 —

200

300

400

500

600

c

60

40

20

0

Therefore, all Rsi = f(W) curves from Fig. 1 directly reflect the Si(s.) + xF ^ SiFx reaction kinetics, and the R/Ff ratio, where Ff » 0.25[F](8RTgas/^M)1/2 is the fluorine atom flux, gives the effective reaction probability yR. Accordingly, yR = f(p, W) dependencies

under the condition of the nearly constant sample temperature illustrate what kinds of side factors, except the density of active species and the sample temperature, do influence the etching kinetics.

Table

Electrons- and ions-related plasma parameters

Input power, W Te, eV J+, mA/cm2 n+, 1010 cm-3 -Udc, V

4 mTorr 12 mTorr 4 mTorr 12 mTorr 4 mTorr 12 mTorr 4 mTorr 12 mTorr

C6F12O + O2

200 3.3 3.1 0.05 0.04 0.22 0.20 436 445

400 3.6 3.5 0.14 0.10 0.65 0.46 412 424

600 4.1 3.7 0.45 0.38 1.93 1.70 342 360

CF4 + O2

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

200 3.0 2.7 0.07 0.05 0.31 0.24 440 456

400 3.3 3.1 0.21 0.14 0.86 0.59 411 433

600 4.0 3.6 0.58 0.41 2.11 1.60 356 369

From plasma diagnostics by Langmuir probes (Table), it was found that C6F12O + O2 and CF4 + O2 gas systems exhibit no principal differences in plasma parameters determining the electron-impact kinetics and the ion bombardment intensity. In particular, both Te and J+ always demonstrate an increase toward higher input powers as well as decrease toward higher gas pressures. The behavior of Te = f(W) is connected with increasing dissociation degrees for multi-atomic species that enriches the gas-phase by less saturated CFx radicals and atomic components. As a result, a decrease in electron energy losses for vibrational and electronic excitations takes place. The decreasing tendency for Te = f(p) reasonably reflects the growth in the electron-neutral collision frequency. The change in J+ follow the behavior of the total positive ion density n+ while n+ itself is mainly controlled by the ionization rate. Accordingly, and increase in input power increases the total ionization rate due to the growth of electron density (as follows from the power balance equation [4]) while the transition toward higher pressures suppresses the ionization through decreases in both Te and corresponding rate coefficients. In addition, the negative dc bias voltage always changes oppositely to J+. This is because the flux of positive ion coming to the plasma/surface interface partly compensates for the negative charge produced by the bias source. In our opinion, systematically higher Te values in the C6F12O + O2 plasma may be connected with higher fractions of less saturated CFx radicals. Accordingly, the domination of smaller species with lower ionization rate coefficients provides lower total ioniza-tion rate and thus, explains the lower plasma density. Another important thing is that opposite differences in electron temperatures and electron densities (assuming n+ « ne that is surely valid for the low-pressure CF4 + O2

plasma [22, 23]) produce quite close dissociation frequencies kdisne, where kdis is the dissociation rate coefficient, for the given particle existing in various gas mixtures. In such situation, features of C6F12O + O2 and CF4 + O2 plasmas in respect to F and O atom densities mainly reflect those in dissociation pathways for C6F12O and CF4 molecules.

In order to compare absolute [F] and [O] values in C6F12O + O2 and CF4 + O2 plasmas, we used a combination of plasma modeling and plasma diagnostics by OES. The first method provided data on densities of atomic species in the CF4 + O2 plasma as well as on excitation functions for F 703.8 nm and O 777.2 nm emission lines (Fig. 2(a, b)). The latter are necessary to obtain the A12 coefficient, as described in Section 2. The role of OES was to measure corresponding emission intensities in both gas systems (Fig. 2(c, d)).

From Fig. 3(a), it can be understood that the C6F12O + O2 plasma provides the systematically lower [F] and [O] values compared with the CF4 + O2. The similar difference in fluorine atom densities has been detected in Ref. [15] for C6F12O + Ar and CF4 + Ar plasmas using the actinometrical approach. As such, this should be a kind of fundamental rule which works independently on the type of additive gas. The situation with O atoms looks a bit surprisingly, since the C6F12O-based gas system carries additional oxygen within original fluorocarbon molecules. Unfortunately, the unknown reaction scheme for the C6F12O plasma does not allow one to analyze the kinetics of O atoms in detail. Instead, one can simply suggest that electron-impact decomposition pathways for both C6F12O itself and first-step dissociation products do not liberate "native" oxygen atoms, but keep these ones inside hardly dissociated CO species.

c

d

Fig. 2. Excitation functions (a, b) and emission intensities (c, d) for F 703.8 nm (marked as "F") and O 777.2 nm (marked as "O") lines in C6F12O + O2 (a, c) and CF4 + O2 (b, d) plasmas. Solid lines correspond to p = 4 mTorr while dashed lines are for p = 12 mTorr Рис. 2. Функции возбуждения (a, b) и интенсивности излучения (c, d) для линий F 703,8 нм (обозначение символом "F") и O 777,2 нм (обозначение символом "O") в плазме C6F12O + O2 (a, c) и CF4 + O2 (b, d). Сплошные линии соответствуют p = 4 мТор,

пунктир - p = 12 мТор

We would like to note also that the effect of gas pressure and input power on densities of atomic species is quite typical for low-pressure molecular gas plasmas [4]. In particular, an increase in both [F] and [O] values vs. input power results from the same change in dissociation frequencies kdisne for corresponding source species. The reason is the simultaneous increase in electron temperature and plasma density. Accordingly, the similar effect of gas pressure is because a weak decrease in kdisne is overcompensated by increasing amounts of fluorine- and oxygen-containing species coming with a feed gas.

The comparison of Figs. 1 and 3(a) clearly indicates that a) the change in Si etching rate in each gas system follows corresponding changes both fluorine atom density and flux; and b) differences in absolute etching rates correspond to those for [F] and Tf. From

Fig. 3(b), it can be seen that the parameter yR demonstrates monotonic decrease toward higher input powers and gas pressures. Obviously, this cannot be a result of ion-driven heterogeneous process due to the domination of spontaneous Si(s.) + xF ^ SiFx reaction mechanism [4] as well as an increase in the ion bombardment intensity with input power (Tab. 1). In addition, we also do not think that decreasing yR is connected with increasing polymer film thickness, as it was repeatedly mentioned for high-polymerizing fluorocar-bon gas plasmas [5-8]. The arguments are a) the low polymerizing ability for the CF4 gas in the presence of oxygen [4]; and b) increasing polymer decomposition rate toward higher input powers due to changes in ion flux (Tab. 1) and oxygen atom flux (Fig. 3(a)). In such situation, one can suggest only the competitive adsorption of O atoms that results in the formation of oxide

bonds Si(s.) + O ^ SiO(s.) and thus, reduces the fraction of vacant adsorption sites for etchant species. Accordingly, as can be seen from Fig. 3, the correlation "the higher O atom density, the lower effective reaction probability" is always valid. At the same time, the given interpretation of etching mechanism is only a

suggestion which requires additional confirmations. This may be, for example, the analysis of plasma-treated surfaces by the X-ray photoelectron spectros-copy in order to compare amounts of the surface-bonded oxygen.

300 400 500 Input power, W

b

Fig. 3. Densities of atomic species (a) and effective reaction probabilities for F atoms (b) in C6F12O + O2 (1) and CF4 + O2 (2) plasmas.

Solid lines correspond to p = 4 mTorr while dashed lines are for p = 12 mTorr Рис. 3. Концентрации атомов (a) и эффективная вероятность взаимодействия атомов фтора (b) в плазме C6F12O + O2 (1) и CF4 + O2 (2). Сплошные линии соответствуют p = 4 мТор, пунктир - p = 12 мТор

As a final remark, we would like to note that all our findings and related explanations are in principal agreement with previously published data as well as do not contradict with general regularities of plasma chemistry. Therefore, the used comparative research scheme seems to be an adequate tool to investigate plasma parameters and etching kinetics in weakly-studied plasma systems.

CONCLUSIONS

In this work, we performed the comparative study of C6F12O + O2 and CF4 + O2 plasmas where the latter played the role of reference system. The main fo-

cus was on gas-phase plasma characteristics and reactive-ion etching kinetics of silicon. It was shown that given gas systems are characterized by quite similar effects of processing conditions on electrons- and ions-related plasma parameters, densities of F and O atoms and Si etching rates. The notable feature of the C6F12O + O2 plasma is only the systematically lower absolute etching rates that correlated with differences in F atom densities. The analysis of etching mechanism pointed out on a) similar etching regimes provided by the domination of chemical etching pathway; and b) the oxygen-flux-dependent effective probabilities for F atoms. The principal finding is that the low-GWP

C6F12O is the real candidate to replace CF4 in the dry etching of silicon.

The publication was carried out within the framework of the state assignment of the Federal State Institution «Scientific Research Institute for System Analysis of the Russian Academy of Sciences» (fundamental research (47 GP)) on subject "11021060909091-4-1.2.1 Fundamental and applied research in the field of lithography limits in semiconductor technologies as well as physical and chemical etching processes for 3D nanometer dielectric structures for the development of critical technologies for the production of ECB. Investigations and developments of both models and constructions for microelectronic elements in the extended temperature range (from -60С to +300С)"

The authors declare the absence a conflict of interest warranting disclosure in this article.

Публикация выполнена в рамках государственного задания ФГУ ФНЦ НИИСИ РАН (Проведение фундаментальных научных исследований (47 ГП)) по теме НИР «11021060909091-4-1.2.1 Фундаментальные и прикладные исследования в области литографических пределов полупроводниковых технологий и физико-химических процессов травления 3D нанометровых диэлектрических структур для развития критических технологий производства ЭКБ. Исследование и построение моделей и конструкций элементов микроэлектроники в расширенном диапазоне температур (от -60С до +300С) (FNEF-2022-0006)».

Авторы заявляют об отсутствии конфликта интересов, требующего раскрытия в данной статье.

REFERENCES ЛИТЕРАТУРА

1. Nojiri K. Dry etching technology for semiconductors. Tokyo: Springer International Publ. 2015. 116 p.

2. Advanced plasma processing technology. New York: John Wiley & Sons Inc. 2008. 479 p.

3. Donnelly V.M., Kornblit A. Plasma etching: Yesterday, today, and tomorrow. J. Vac. Sci. Technol. 2013. V. 31. P. 050825-48. DOI: 10.1116/1.4819316.

4. Lieberman M.A., Lichtenberg A.J. Principles of plasma discharges and materials processing. New York: John Wiley & Sons Inc. 2005. 757 p.

5. Standaert T.E.F.M., Hedlund C., Joseph E.A., Oehrlein G.S., Dalton T.J. Role of fluorocarbon film formation in the

etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide. J. Vac. Sci. Technol. A. 2004. V. 22. P. 53-60. DOI: 10.1116/1.1626642.

6. Schaepkens M., Standaert T.E.F.M., Rueger N.R., Sebel P.G.M., Oehrlein G.S., Cook J.M. Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism. J. Vac. Sci. Technol. A. 1999. V. 17. P. 26-37. DOI: 10.1116/1.582108.

7. Kastenmeier B.E.E., Matsuo P.J., Oehrlein G.S. Highly selective etching of silicon nitride over silicon and silicon dioxide. J. Vac. Sci. Technol. A. 1999. V. 17. P. 3179-3184. DOI: 10.1116/1.58209.

8. Lele C., Liang Z., Linda X., Dongxia L., Hui C., Tod P. Role of CF2 in the etching of SiO2, Si3N4 and Si in fluorocar-bon plasma. J. Semicond. 2009. V. 30. P. 033005-1 (9). DOI: 10.1088/1674-4926/30/3/033005.

9. Lee J., Efremov A., Yeom G. Y., Lim N., Kwon K.-H. Application of Si and SiO2 Etching Mechanisms in CF4/C4F8AAJ Inductively Coupled Plasmas for Nanoscale Patterns. J. Nanosci. Nanotechnol. 2015. V. 15. P. 8340-8347. DOI: 10.1166/jnn.2015.11256.

10. Son J., Efremov A., Chun I., Yeom G. Y., Kwon K.-H. On the LPCVD-Formed SiO2 Etching Mechanism in CF4/Ar/O2 Inductively Coupled Plasmas: Effects of Gas Mixing Ratios and Gas Pressure. Plasma Chem. Plasma Proc. 2014. V. 34. P. 239-257. DOI: 10.1007/s11090-013-9513-1.

11. Muhle J., Ganesan A.L., Miller B.R. Perfluorocarbons in the global atmosphere: tetrafluoromethane, hexafluoroethane, and oc-tafluoropropane. Atmos. Chem. Phys. 2010. V. 10. P. 5145-5164. DOI: 10.5194/acp-10-5145-2010.

12. Tran-Quinn T., Lakritz M. Unsaturated fluorocarbons in the etching process, environmental benefit, technical hurdles. Proc. of 2008 IEEE/SEMI Adv. Semicond. Manufact. Conf., Cambridge, USA, 5-7 May 2008. P. 37-42. DOI: 10.1109/ASMC.2008.4529003.

13. Mocella M.T. PFC emission control options for plasma processing tools: A current Assessment. MRS Proc. 2011. V. 447. P. 29-34. DOI: 10.1557/PROC-447-29.

14. Krishnan N., Smati R., Raoux S., Dornfeld D. Alternatives to reduce perfluorinated compound (PFC) emissions from semiconductor dielectric etch processes: Meeting environmental commitments while minimizing costs. Proc. of IEEE Internat. Symp. on Electronics and the Environment, Boston, USA, 19-22 May 2003. P. 19-24. DOI: 10.1109/ISEE.2003.1208041.

15. Lim N., Cho Y. S., Efremov A., Kwon K.-H. Dry Etching Performance and Gas-Phase Parameters of C6F12O + Ar Plasma in Comparison with CF4 + Ar. Materials. 2021. V. 14. P. 1595 (1-16). DOI: 10.3390/ma14071595.

16. Kimura T., Ohe K. Probe measurements and global model of inductively coupled Ar/CF4 discharges. Plasma Sources Sci. Technol. 1999. V. 8. P. 553-560. DOI: 10.1088/09630252/8/4/305.

17. Efremov A., Lee J., Kwon K.-H. A comparative study of CF4, Cl2 and HBr + Ar Inductively Coupled Plasmas for Dry Etching Applications. Thin Solid Films. 2017. V. 629. P. 39-48. DOI: 10.1016/j.tsf.2017.03.035.

18. Efremov A., Murin D., Kwon K.-H. Concerning the Effect of Type of Fluorocarbon Gas on the Output Characteristics of the Reactive-Ion Etching Process. Russ. Microelectron. 2020. V. 49. N 3. P. 157-165. DOI: 10.1134/S1063739720020031.

19. Efremov A., Murin D., Kwon K.-H. Plasma parameters, densities of active species and etching kinetics in C4F8+Ar gas mixture. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2019. V. 62. N 2. P. 31-37. DOI: 10.6060/ivkkt.20196202.5791.

20. Efremov A.M., Murin D.B., Kwon K.H. Plasma parameters and active species kinetics in CF4+C4F8+Ar gas mixture. ChemChemTech [Izv. Vyssh. Uchebn. Zaved. Khim. Khim. Tekhnol.]. 2018. V. 61. N 4-5. P. 31-36. DOI: 10.6060/tcct.20186104-05.5695.

21. Lopaev D.V., Volynets A.V., Zyryanov S.M., Zotovich A.I., Rakhimov A.T. Actinometry of O, N and F atoms. J. Phys. D: Appl. Phys. 2017. V. 50. P. 075202 (1-17). DOI: 10.1088/1361 -6463/50/7/075202.

22. Efremov A., Lee J., Kim J. On the Control of Plasma Parameters and Active Species Kinetics in CF4 + O2 + Ar Gas Mixture by CF4/O2 and O2/Ar Mixing Ratios. Plasma Chem. Plasma Proc. 2017. V. 37. P. 1445-1462. DOI: 10.1007/s11090-017-9820-z.

23. Chun I., Efremov A., Yeom G. Y., Kwon K.-H. A comparative study of CF4/O2AAJ and C4F8/O2AA plasmas for dry etching applications. Thin Solid Films. 2015. V. 579. P. 136-143. DOI: 10.1016/j.tsf.2015.02.060.

24. Kimura T., Noto M. Experimental study and global model of inductively coupled CF4/O2 discharges. J. Appl. Phys. 2006. V. 100. P. 063303 (1-9). DOI: 10.1063/1.2345461.

25. Gray D.C., Tepermeister I., Sawin H.H. Phenomenological modeling of ion-enhanced surface kinetics in fluorine-based plasma-etching. J. Vac. Sci. Technol. B. 1993. V. 11. P. 1243-1257. DOI: 10.1116/1.586925.

26. A Simple Sputter Yield Calculator. https://www.iap. tuwien.ac.at/www/ surface/sputteryield (10.10.2021).

Поступила в редакцию 15.10.2021 Принята к опубликованию 21.02.2022

Received 15.10.2021 Accepted 21.02.2022

i Надоели баннеры? Вы всегда можете отключить рекламу.