Научная статья на тему 'ATOMIC LAYER DEPOSITION: REACTORS AND LAYER APPLICATIONS'

ATOMIC LAYER DEPOSITION: REACTORS AND LAYER APPLICATIONS Текст научной статьи по специальности «Физика»

CC BY
100
17
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ATOMIC LAYER DEPOSITION / SELFLIMITING SURFACE REACTIONS / UNIFORM CONFORMAL THIN FILMS

Аннотация научной статьи по физике, автор научной работы — Kuznetsov Vladimir Ivanovich

Atomic Layer Deposition has emerged as a powerful, and frequently preferred, deposition technology. The interest in ALD has increased considerably in the last decade due to exceptional properties of ALD. ALD can be employed for the most demanding applications due to its superior conformality, large area uniformity and atomic level accuracy in controlling film thickness and composition. An important challenge for the industrial application of ALD is the reactor that needs to be designed to allow for the fast and cost-effective growth of films. This paper gives a short review of ALD principals, the types of ALD that can be performed, reactor designs and the main areas of ALD layer applications.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

АТОМНО-СЛОЕВОЕ ОСАЖДЕНИЕ: РЕАКТОРЫ И ПРИМЕНЕНИЕ

Рассмотрены принципы и типы атомно-слоевого осаждения (АСО). Показано, что ACО востребовано благодаря своей точности на атомном уровне для контроля толщины и состава пленки. Представлены конструкции реакторов для быстрого и не требующего больших материальных затрат роста пленок.

Текст научной работы на тему «ATOMIC LAYER DEPOSITION: REACTORS AND LAYER APPLICATIONS»

ТЕХНОЛОГИЯ МИКРО- И НАНОЭЛЕКТРОНИКИ MICRO- AND NANOELECTRONIC TECHNOLOGY

Atomic Layer Deposition: Reactors and Layer Applications

V.I. Kuznetsov

Company Levitech (Almere, The Netherlands) УДК 621.793:[539.23:539.216.1]

Атомно-слоевое осаждение: реакторы и применение

В.И. Кузнецов

Компания «Levitech» (Алмере, Нидерланды)

Atomic Layer Deposition has emerged as a powerful, and frequently preferred, deposition technology. The interest in ALD has increased considerably in the last decade due to exceptional properties of ALD. ALD can be employed for the most demanding applications due to its superior conformality, large area uniformity and atomic level accuracy in controlling film thickness and composition. An important challenge for the industrial application of ALD is the reactor that needs to be designed to allow for the fast and cost-effective growth of films. This paper gives a short review of ALD principals, the types of ALD that can be performed, reactor designs and the main areas of ALD layer applications.

Keywords: atomic layer deposition; selflimiting surface reactions; uniform con-formal thin films.

Рассмотрены принципы и типы атомно-слоевого осаждения (АСО). Показано, что ACО востребовано благодаря своей точности на атомном уровне для контроля толщины и состава пленки. Представлены конструкции реакторов для быстрого и не требующего больших материальных затрат роста пленок.

Ключевые слова: атомно-слоевое осаждение; реакторы; поверхностное реакции; равномерные тонкие пленки.

Introduction. Atomic Layer Deposition (ALD) is a gas phase method based on sequential, self-limiting surface reactions, which belongs to the general class of Chemical Vapor Deposition (CVD) techniques. During the past decades, significant growth has been seen in the materials made by ALD, applications, and commercially available ALD equipment [1]. One of the key drivers for the success of ALD has been the microelectronics industry, which relies heavily on ALD for the down-scaling of device dimensions [2]. ALD is capable of de-

© V.I. Kuznetsov, 2015

positing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next generation solar cells; therefore, ALD for photovoltaics (PV) has attracted great interest in academic research and industrial applications in recent years [3]. ALD is particularly attractive method of coating for MEMS devices because they are 3-dimensional, and achieving a conformal coating is a challenge for other deposition methods. There is a need for different MEMS coating such as protective hermetic encapsulation and surface coatings to reduce friction and wear [4]. Range of ALD applications in different industries continuously grows: optical and biomedical coatings, creating materials with unique properties, displays, energy capture and storage, solid state lighting, biotechnologies and other.

ALD has been invented in the Soviet Union in the 1960s (published under the name "molecular layering" technique) [5]. The ALD principles were transferred to the thin film deposition technology in Finland in the 1970s ("atomic layer epitaxy" technique) [6]. In 1999 Finnish company Microchemistry with all its expertise and the patent portfolio was sold to the Dutch company ASM. ASM moved the ALD reactor manufacturing to their plant in Phoenix, Arizona. Currently ASM is the market leader in ALD high-k process technology. Many new companies were established during the past decade in different countries to develop ALD technology for different industrial applications.

Principle of ALD. ALD is a deposition method by which precursor gases or vapors are alternately added on to the substrate surface. Precursor gases introduced on to the substrate surface will chemisorb or surface reaction takes place at the surface. The advantage of the ALD method is that the deposition is controlled at the atomic level by self-limiting half-reactions. To guarantee self-limiting growth dictated by the surface chemistry, each precursor and reactant step is followed by an inert-gas purge step to prevent chemical vapor deposition (CVD)-like reactions to occur. One so-called ALD cycle (exposure to precursors with subsequent purge steps) results typically in film growth of one sub-monolayer per cycle.

A typical ALD process which is widely used in the industry is the deposition of Al2O3. ALD of Al2O3 is usually performed using trimethylaluminum (TMA) and H2O (Fig. 1). The surface chemistry can be described as [7]:

AlOH* + Al(CH3)3 ^ AlOAl(CH3)2* + CH4, (1)

AlCH3* + H2O ^ AlOH* + CH4 (2)

where the asterisks denote the surface species.

Fig.1. Schematic representation of ALD. The deposition of Al2O3 from Al(CH3)3 and H2O is given as example

Each surface reaction occurs between a gas phase reactant (precursor) and a surface functional group creating a volatile product molecule that desorbs from the surface, and a new surface functional group that is not reactive with the precursor. After pumping away the first precursor, a second reactant is introduced, which deposits a second element through reaction with the new surface functional group and then restores the initial surface functional group. This set of reactions forms one ALD-cycle and the result is basically one (sub)monolayer of film growth per cycle. The ALD-cycle can be repeated until the desired film thickness is reached.

ALD of Al2O3 is a model system because the surface reactions are very efficient and self-limiting. The main driver for the efficient reactions is the formation of a very strong Al-O bond. The overall reaction for Al2O3 ALD is [7]:

2Al(CH3)3 + 3H2O ^ Al2O3 + ЗСН4.

(3)

This reaction has an extremely high reaction enthalpy (AH = -376 kcal) This is one of the highest reaction enthalpies encountered for any ALD reaction.

As shown in Fig. 2 [8], the film thickness can be chosen very precisely with basically "digital" control. Unlike chemical vapor deposition (CVD), the ALD deposition rate is not proportional to the flux on the surface. Therefore, the same amount of material is deposited everywhere on the surface even in high aspect ratio structures when there is sufficient flux. This aspect makes the method of ALD unique in terms of step coverage and conformality that can be achieved on substrates with high aspect ratio structures and on complex non-planar nanostructures. Other benefits of ALD are the good uniformity that can be achieved on large substrates, the relatively low substrate temperatures used in the process (temperature window typically 150-400 °C), and the fact that ALD can readily produce multilayer structures.

50 100 150 Number of reaction cycles

Fig.2. Film thickness of some oxide films deposited by ALD as a function of the number of cycles

Thermal and Plasma Enhanced ALD. In ALD, the substrate is exposed to separate re-actants individually and the product film is formed in a stepwise fashion. Binary ALD reactions have negative heats [7]. These reactions occur spontaneously at various temperatures and can be referred to as thermal because they can be performed without the aid of plasma or radical assistance. A survey of developed ALD processes reveals that most thermal ALD materials are binary compounds. The most common thermal ALD systems are metal oxides such as Al2O3, SiO2, TiO2, ZnO, ZrO2, HfO2, and Ta2O5. Other common thermal ALD systems are metal nitrides such as AlN, TiN, TaN, and W2N. Thermal ALD systems also exist for sulfides such as ZnS and CdS and phosphides such as GaP and InP [7].

There is also a need for single-element ALD materials, such as metals (W, Ta, Ti, Cu) and semiconductors. The single-element films of metals and semiconductors are very difficult

to deposit using thermal ALD processes. These single elements can be deposited using plasma or radical-enhanced ALD. The radicals or other energetic species in the plasma help to induce reactions that are not possible using just thermal energy. In the plasma-enhanced or plasmaassisted ALD process exposure of the surface to the reactive species from an O2, N2 or H2 plasma can for example replace reactions by H2O or NH3 used in thermal ALD. Due to the high and selective reactivity delivered by the plasma to the surface, without heat, material properties can be tuned in a way which is not possible by strictly chemical methods.

Thermal ALD and Plasma Enhanced ALD (PEALD) are schematically shown in Fig. 3. During the thermal ALD precursors are pulsed in time with subsequent purge. During the PEALD one precursor is pulsed and another (for example O2) is supplied continuously. During the plasma pulse oxygen atoms and ions are produced and they react with the first precursor on the substrate surface.

Thermal ALD of Si02

PEALD of Si02

Si Precursor Purge Oxygen Precursor (03) Purge

Si Precursor

Plasma

Continues flow of Oxygen precursor (Ar/02)

Time

Time

Fig.3. Sequences of thermal (a) and plasma enhanced (b) ALD cycles for deposition of SiO2. During thermal ALD cycle precursors are pulsed and during PEALD cycle oxygen precursor is continue sly supplied and activated by plasma pulses

Advantages of PEALD in respect to thermal ALD are: shorter ALD cycles (higher throughput possible), it enables ultra-low temperature depositions (when using remote plasma), dense films, increased choice of precursors, materials. An overview of the materials deposited by plasma-assisted ALD can be found in work [9]. Limitations of PEALD are: more complicated and expensive equipment, not optimal for structures with high aspect ratio (less conformal coating) and plasma-induced damage. During the plasma step of the ALD cycle, the deposition surface is exposed to a multitude of reactive species from the plasma that can also induce undesired surface reactions, including oxidation and nitridation of the top surface layers of the substrate.

Reactors for ALD. Various types of ALD reactor systems are developed and used for research and industrial purposes. Two main groups or ALD reactors are thermal and plasma reactors.

Common configurations of thermal ALD reactors are shown in Fig. 4. In a single wafer reactors gases can be supplied in different ways: with a single injector above the center of the wafer, with a shower head (gas is injected through an array of injectors covering the entire wafer surface) or by forced flow laterally across the wafer (cross-flow reactor) [10]. Schematic of a cross-flow reactor is shown in Fig 4,a. Such an reactor is used for example in the ASM system 'Pulsar' [8]. Batch ALD reactors are used to increase throughput and hence to reduce costs of device manufacturing. Schematic of vertical batch reactor is shown in Fig.4,6. Typical load of batch reactors is 50-150 wafers.

Plasma ALD reactors operate in a vacuum. Plasma can be generated by RF generator at different frequencies and plasma can be in direct contact with a wafer or separated with a mesh. Plasma also can be generated remotely and radicals produced in plasma reach a wafer by diffusion. Schematics of direct plasma ALD reactors are shown in Fig. 5. Reactors with such a configurations are used for example in the ASM PEALD system 'Stella' [8].

gas inlet

Fig.4. Reactors for thermal ALD: a - single wafer reactor; features: cross-flow, hot walls, low profile to reduce volume for fast gas switching; b - batch reactor, features: vertical load of 100-150 wafers, top to bottom gas supply

Fig.5. Reactors for plasma enhanced ALD: a - showerhead gas supply and b - 'folded lateral' gas supply

Large wafer batches in thermal batch ALD reactors can reduce manufacturing costs. However some industries require such low costs that even batch reactor tools can not meet these requirements. New low-cost production techniques have to be developed to make ALD economically viable for these industries (such as Photovoltaics and organic electronics). Several new approaches to ALD have been recently proposed and developed to meet this challenge [11]. One of these approaches is spatial separation of the half reactions, and can be referred to under the term "spatial ALD".

The difference between the conventional temporal ALD described above and spatial ALD lies in the way the precursors are delivered to the substrate. In conventional ALD the precursors are dosed into a chamber sequentially, separated in time by a purge step. In spatial ALD, the precursors are supplied continuously, but in different physical locations. Consequently there are (at least) two zones where, in the presence of a substrate, a half-reaction can take place. When the substrate is present in such a half-reaction zone for a sufficiently long period of time, a saturated monolayer will form from first precursor. Then, the substrate is moved to the second half-reaction zone, where the ALD cycle is completed to form one ALD monolay-er. Alternatively the substrate position could be fixed and the gas supplies could be moved, or

some combination of the two. To obtain thicker films, this sequence has to be repeated for the desired number of cycles [11].

An example of spatial ALD developed for photovoltaics and implemented in mass production of solar cells is described in works [12, 13]. The principle of this technology is shown in Fig. 6. Wafers are transported inside a narrow channel. When the wafer crosses two precursor gas areas (TMA and H2O, one ALD cell) one Al2O3 monolayer is deposited. The length of the channel (track) is determined by the required layer thickness: deposition of a 6nm layer requires a 6m long ALD track. The gap between the substrate and the channel wall above and below the wafer is 0.15 mm. Such a small gap allows ALD deposition on one side of the wafer while the other side is protected from deposition by nitrogen flow.

Fig.6. Principle of the Levitrack technology (atmospheric pressure spatial ALD). Wafer moves under zones with continuously supplied precursors. (TMA and H2O) The photo shows the track

in open position

The throughput is determined by the wafer velocity in the track. The track is placed at an angle and wafers move through the track simply by force of gravity. The cost of this technology is low due to high throughput which is currently 3600 wafers per hour and which potentially can be further increased up to ~6000 wafers per hour.

An interesting paper by Poodt et al. [11] reviews a past and current developments in spatial ALD. This overview describes current as well as new applications for spatial ALD, with a focus on photovoltaics and flexible electronics.

ALD layer Applications. The unique properties of ALD films have captured considerable interest from different industries facing challenging manufacturing needs. General applications of ALD coatings are summarized in Table 1 and specific features in Table 2.

Semiconductor Applications. In the semiconductor industry ALD layers are currently being used in both the so-called "front-end-of-line," the level where the individual device components are created in and on the silicon substrate, and the so-called "back-end-of-line," the level in IC fabrication where individual device components are interconnected.

In the "front-end-of-line" manufacturing, CMOS transistors requires a continuous decrease in the thickness of the gate dielectric. Extensive research has been conducted on finding alternatives to SiO2 dielectric. This led to the implementation of Hf based oxides deposited by ALD in the 45 nm technology node. ALD is currently being commercially used by the semiconductor industry to form metal/ high-k gate oxide stacks for field effect transistors [14].

Tablel

Applications of ALD coatings

Application Coating

Coating reducing abrasive wear ZrO2, SiC, TiN, AUO3, solid lubricant films: WS2, MoS2

Humidity barrier, Al2O3

Insulators SiO2, Al2O3, Ta2O5, Al2O3/TiO2

High-k dielectrics HfO2, ZrO2, La2O3, HfZrO, Ta2O5, Sc2O3

Transparent Electrical Conductors ZnO, ZnO:Al, ITO

layers that may dissipate charge while maintaining dielectric characteristics Composite layers Al2O3/ZnO, ZnZrO,

Biomedical coatings (for in-vivo medical de- TiO2, TiN, ZrN, CrN, TiAlN, AlTiN

vices and instruments)

Metal Barrier layers SiO2, WN

Anti-stiction coatings Comprised of hydrocarbon or fluorocarbon monolayers

Conductors (electrodes, interconnectors and Ir, Pt, Ru, TiN, Cu, WN, TaN, WNC

lines)

Catalytic materials (Coatings inside filters, membranes, catalysts) Pt, Ir, Co, TiO2, V2O5

Piezoelectric layers ZnO, AlN, ZnS

UV blocking layers ZnO, TiO2

Mascking layer (e.g. for deep reactive ion etching) Al2O3

Table 2

Advantages and drawbacks of ALD coatings

Feature Explanation

Advantages

Conformality, extremely uniform coating of 3D structures Surface self terminating reactions

Thin, precise and repeatible layers Thickness can be precisely controlled at the atomic level as each reaction cycle deposits one atomic monolayer

Artificial materials Sequential deposition of atomic layers and reaction on the surface

Pin-hole free Surface is saturated at each precursor chemisorp-tion cycle

Drawbacks

Low deposition rate One monolayer per cycle, each cycle requre 4 steps: 2 times exposure to precursors and 2 times purge

Long development new layers Time consuming precursor development

ALD enables the manufacturing of high performance capacitors for dynamic random access memory (DRAM) devices. High performance metal-insulator-metal (MIM) capacitors with a HfO2-Al2O3 laminate dielectric using the atomic layer deposition (ALD) technique provide a high capacitance density of 12.8 fF/p,m2 in a wide range of frequencies with very

low leakage current and high breakdown field [15]. Examples of capacitor structures which are manufactured using ASM equipment are shown in Fig. 7 and 8 [8, 16].

Fig. 7. DRAM trench capacitor with Al2O3 coating, aspect ratio > 60 (a) and uniformity of the coating achieved with ASM ALD equipment (b) [16]

Fig.8. ALD of high-K dielectrics for MIM capacitors: a - 3D MIM capacitor with PEALD of Ta2O5, conformality of 45nm coating > 90%, realized capacity 30 fF/^m2; b - example of layer uniformity on 300 mm Si wafer: 16.2 nm SrTiO3 coating for MIM capacitors deposited during

140 cycles has uniformity of 1.1% [8]

In the "back-end-of-line" processing the replacement of Al by Cu as the interconnect requires thinner liner materials to be used to prevent Cu diffusion into the low-k dielectric and to promote the adhesion of Cu to the diffusion barrier layer [17, 18]. For this application, typically a stack of materials, such as TaN/Ta or TiN/Ti, needs to be employed and these materials need to be deposited in the trenches and vias before filling them with Cu. ALD meet requirements for such a deposition: a high conformality of the films in nanoscale structures, a deposition temperature compatible with back-end-of-line processing (~400 C), as well as a high chemical and thermal stability.

Photovoltaic Applications. The application of ALD has been investigated for several solar cell types in the past decade. A detailed overview of this research is provided in papers [3, 19]. ALD layers were applied in different solar cell types such as thin film a-Si:H, crystalline c-Si, CIGS, CdTE, organic and dye-sensitized. ALD layers were applied as absorber, transparent conductive oxide, surface passivation layer, buffer layer, diffusion barrier layer, encap-

sulation layer and photo anode. Some of the ALD materials investigated are: GaAs, ZnO, Al2O3, ZnSe, ¡n2S3, ZnS, TiO2, HfO2, ZrO2.

More than 80% commercially manufactured solar cells are c-Si cells. Surface passivation is of vital importance for next generation Si solar cells. Recent research shows that Al2O3 layers deposited by ALD provide excellent surface passivation. The spatial ALD technology developed by Levitech [12, 13] made possible to implement ALD Al2O3 layers in mass production. Surface passivation with a thin (~6 nm) Al2O3 layer results in an improvement of 0.5-1 % of PERC cells efficiency on multi- and mono-Si wafers.

MEMS Applications. A variety of coatings is needed in MEMS such as accelerometers, pressure sensors, micro switches, moving electrodes, displays and others. It is a challenge to apply coatings in MEMS due to their 3d structures (Fig. 9). All surfaces including bottom surfaces have to be uniformly coated. Another challenge is that the layers have to be very thin in order do not disturb mechanical properties. These very thin layers must be without pinholes to enable reliable protection. ALD could meet those requirements. ALD films are used in MEMS as functional layers: conducting, insulating, layers with variable resistivity and charge dissipation and (anti) reflective capability. A variety of ALD layers is applied for improving MEMS reliability: hydrophobic, anti-stiction, passivating (chemically resistant), lubricating (to reduce abrasive wear), enhancing hardness (to minimize mechanical wear), protective (for biocompatible coatings).

Fig.9. Example of MEMS structure manufactured at Fraunhofer Institute using ASM equipment. Conformai coating is achieved in trenches of 4 micron wide and 130 micron dip

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

ALD opens new possibilities for the fabrication of micro- and nanostructures. Advanced MEMS and NEMS devices could be developed. Examples the fabrication of nanostructures are shown in Fig. 10. The following ALD layers are used for nanofabrication: sacrificial layers (e.g. nanocavities), construction layers (e.g. nanotubes) and masking layers for lithography/etching. Low temperature ALD capability opens new possibilities for organic polymer components: good barrier properties for MEMS plastic elements, resistance to aggressive environments, improved surface hardness of plastics

Another approach for nanostructures synthesis method is shown in Fig. 11 [22]. In this approach a well-ordered porous material was used as a template for thin film deposition to achieve ordered arrays of nanotubes. Porous anodic alumina oxide (AAO) is a commonly used template. AAO pores are in the range of 100-300 nm with a thickness of 2-60 |im. Different nanotubes materials are possible ZrO2, HfO2, Nb2O5, TiO2, others. The application of such structures ranges from gas sensors to nanocapacitors and photonic crystals.

Fig.10. Nanostructure fabrication using ALD (typical thickness of ALD layers is 20-30 nm): a - process of fabrication of TiO2 nanobowl arrays [20]; b - process of fabrication of released

nanostructures [21]

Fig.11. Method to fabricate ordered arrays of nanotubes using ALD layer.

Porous anodic alumina oxide (AAO) is used as a template

Nanostructured materials. Nanostructured materials may be defined as those materials whose structural elements - clusters, crystallites or molecules - have dimensions in the 1 to 100 nm range. Significant growth in both academic and industrial interest in these materials over the past decade arises from the remarkable variations in fundamental electrical, optical and magnetic properties.

One of the new frontiers in materials science are nanolaminates (see example in Fig 12). They can have very different properties when compared to their constituents. Materials can have unique physical properties when they have nano-dimensions: (a) thermal conductivity is reduced when the nanolayer thickness is less than the mean free path of the phonon that transfers the heat, (b) hardness is increased when the nanolayer thickness is less than the dislocation length for the slip plane motion that characterizes the response of the material to stress. Electrical and structural properties of the film can be tuned over a wide range by depositing nanolaminates with various compositional ratios and different nanolayer thicknesses.

Fig.12. Example of nanolaminates: Al2O3/ZnO may dissipate charge while maintaining good dielectric characteristics

At present extensive research is being conducted on carbon-based nanomaterials and nanostructures, including fullerenes and nanotubes. The synthesis of nanostructured materials, involving transition metal oxides and graphene, applying low-cost and solution processing methods is an important goal of many research groups.

Other ALD layer applications. There are many other applications of ALD layers and the range of applications grows continuously. Some of these applications are: (a) spacer-defined double patterning for nanolithography, (b) coating of nanoparticles, (c) coating of porous materials, (d) creating artificial materials, (e) catalytic materials, (f) biomedical coatings, (g) piezoelectric layers, (h) photonic crystals, (i) different optical applications such as UV blocking layers, anti-reflection and optical filters.

Conclusions. Atomic Layer Deposition has emerged as a powerful, and frequently preferred, deposition technology. The interest in ALD has increased considerably in the last decade due to exceptional properties of ALD. ALD can be employed for the most demanding applications due to its superior conformality, large area uniformity and atomic level accuracy in controlling film thickness and composition. An important challenge for the industrial application of ALD is the reactor that needs to be designed to allow for the fast and cost-effective growth of films. This paper gives a short review of ALD principals, the types of ALD that can be performed, reactor designs and the main areas of ALD layer applications.

References

1. The AVS Topical Conference on Atomic Layer Deposition 2014 (June 15-18, 2014, Kyoto, Japan). -

2014.

2. Raaijmakers I. Atomic Layer Deposition for sub-14 nm Semiconductor Roadmap Challenges // AVS 14th International Conference on Atomic Layer Deposition (June 15-18, 2014, Kyoto, Japan). - 2014.

3. J. A.van Delft et al. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing Semicond // Sci. Technol, 2012, 27, 074002.

4. Hoivik N. Atomic Layer Deposition of Conformal Dielectric and Protectiv Coatings for Micromechani-cal Devices // IEEE University of Colorado at Boulder, 2002, P.455-458.

5. Aleskovskii V.B., Kol'tsov S.I. Some characteristics of molecular layering reactions // Abstract of Scientific and Technical Conference. Leningrad: Goskhimizdat, 1965, p. 67.

6. Suntola T., Antson J. International patent, FIN 52359, US 4 058 430, priority Nov 29, 1974, publication Nov 15, 1977.

7. George S.M. Atomic Layer Deposition: An Overview, Chem. Rev., 2010, 110, pp. 111-131.

8. Kuznetsov V.I. Nanolayer Coatings by Atomic Layer Deposition for MEMS Applications // The Second Nanotechnology International Forum (Moscow, 6 - 8 October 2009). - 2009.

9. Profijt H.B. et al. Plasma-Assisted Atomic Layer Deposition: Basics, Opportunities, and Challenges // J. Vac. Sci. Technol. A 29(5), Sep/Oct 2011.

10. Granneman E., Fischer P., Pierreux D. et al. Surf. Coatings Technol., 2007, 201, 8899.

11. Poodt et al. Spatial atomic layer deposition: A route towards further industrialization of atomic layer deposition // J. Vac. Sci. Technol. A 30(1), Jan/Feb 2012.

12. E.H.A. Granneman et al. Spatial ALD, Deposition of Al2O3 Films at Throughputs Exceeding 3000 Wafers per Hour // 225th ECS Meeting (Orlando, USA, May 12-16, 2014). - 2014.

13. Kuznetsov V. et al. Al2O3 Surface Passivation of Silicon Solar Cells by Low Cost ALD Technology // 40th Photovoltaic Specialists Conference (Denver, USA, June 2014). - 2014.

14. F. Lee et al. Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing" // 2007 IEEE/SEMI Advanced Semiconductor Manufacturing, 2007, 359.

15. Shi-Jin Ding et al. High-performance MIM capacitor using ALD high-k HfO2-Al2O3 laminate dielectrics Electron Device Letters // IEEE, Vol. 24, Iss. 12, P. 730 - 732.

16. Kuznetsov V.I. Materials and Equipment for Micro and Nano Electromechanical Systems Manufacturing Technology // Nanotechnology International Forum, Section Nanoelectromechanical Systems (Moscow, 3-5 December 2008). - 2008.

17. Rossnagel S.M., Sherman A., Turner F. // J. Vac. Sci. Technol. B 18, 2000, 2016

18. Kim H. Surf. Coat. Technol. 200, 3104 (2006).

19. Kessels W.M.M. Atomic Layer Deposition: Prospects for Solar Cell Manufacturing Photovoltaic Specialists Conference, 2008. PVSC '08. 33rd IEEE.

20. Hesketh P.J. BioNanoFluidic MEMS, Springer, NY, 2008.

21. Chang Y.-J. ECS Transactions, 2007, 3 (15), 207-218.

22. Grote F. et al. Template Assisted Fabrication of Free-Standing MnO2 Nanotube and Nanowire Arrays and their Application in Supercapacitors // Appl. Phys. Lett., 2014, 104, 053904.

The article received February 2, 2015

Kuznetsov Vladimir Ivanovich - director RD&E, Company Levitech (Almere, the Netherlands). E-mail: Vladimir.Kuznetsov@levitech.nl

Информация для читателей журнала «Известия высших учебных заведений. Электроника»

С тематическими указателями статей за 1996 - 2014 гг., аннотациями и содержанием последних номеров на русском и английском языках можно ознакомиться на нашем сайте:

http://www.miet. ги

i Надоели баннеры? Вы всегда можете отключить рекламу.