Научная статья на тему 'Алгоритм вычисления координат связных компонент бинарного изображения с помощью перестраиваемой вычислительной среды '

Алгоритм вычисления координат связных компонент бинарного изображения с помощью перестраиваемой вычислительной среды Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
2
0
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
бинарное изображение / цифровая обработка изображений / перестраиваемая вычислительная среда / ПЛИС / binary image / digital image processing / reconfigurable computing environment / FPGA

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Дмитрий Вадимович Шашев, Антон Сергеевич Бондарчук

Разработан и представлен алгоритм вычисления координат связных компонент бинарного изображения, предназначенный для реализации на перестраиваемой вычислительной среде (ПВС). Особенности построения архитектуры ПВС и используемая концепция процесса обработки изображений позволяют в перспективе значительно повысить скорость работы представленного алгоритма за счет параллельной обработки каждого пикселя изображения.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Дмитрий Вадимович Шашев, Антон Сергеевич Бондарчук

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Algorithm for calculating the coordinates of connected components of a binary image using a tunable computing environment

An algorithm for calculating the coordinates of connected components of a binary image designed for implementation on a reconfigurable computing environment (RCE) is developed and presented. The features of building the RCE architecture and the concept of the image processing process used make it possible in the future to significantly increase the speed of the presented algorithm due to parallel processing of each pixel of the image.

Текст научной работы на тему «Алгоритм вычисления координат связных компонент бинарного изображения с помощью перестраиваемой вычислительной среды »

ВЕСТНИК ТОМСКОГО ГОСУДАРСТВЕННОГО УНИВЕРСИТЕТА

2023 Управление, вычислительная техника и информатика № 65

Tomsk: State University Journal of Control and Computer Science

Научная статья

УДК 004.032.26, 004.272

doi: 10.17223/19988605/65/12

Алгоритм вычисления координат связных компонент бинарного изображения с помощью перестраиваемой вычислительной среды

Дмитрий Вадимович Шашев1, Антон Сергеевич Бондарчук2

12 Томский государственный университет, Томск, Россия 1 dshashev@mail.ru 2 bondarchuk. a. c@gmail. com

Аннотация. Разработан и представлен алгоритм вычисления координат связных компонент бинарного изображения, предназначенный для реализации на перестраиваемой вычислительной среде (ПВС). Особенности построения архитектуры ПВС и используемая концепция процесса обработки изображений позволяют в перспективе значительно повысить скорость работы представленного алгоритма за счет параллельной обработки каждого пикселя изображения.

Ключевые слова: бинарное изображение; цифровая обработка изображений; перестраиваемая вычислительная среда; ПЛИС.

Благодарности: Исследование выполнено при финансовой поддержке РФФИ в рамках научного проекта № 19-29-06078.

Для цитирования: Шашев Д.В., Бондарчук А.С. Алгоритм вычисления координат связных компонент бинарного изображения с помощью перестраиваемой вычислительной среды // Вестник Томского государственного университета. Управление, вычислительная техника и информатика. 2023. № 65. С. 116-125. doi: 10.17223/19988605/65/12

Original article

doi: 10.17223/19988605/65/12

Algorithm for calculating the coordinates of connected components of a binary image using a tunable computing environment

Dmitriy V. Shashev1, Anton S. Bondarchuk2

12 Tomsk State University, Tomsk, Russian Federation 1 dshashev@mail.ru 2 bondarchuk. a. c@gmail. com

Abstract. An algorithm for calculating the coordinates of connected components of a binary image designed for implementation on a reconfigurable computing environment (RCE) is developed and presented. The features of building the RCE architecture and the concept of the image processing process used make it possible in the future to significantly increase the speed of the presented algorithm due to parallel processing of each pixel of the image. Keywords: binary image; digital image processing; reconfigurable computing environment; FPGA.

Acknowledgments: The reported study was funded by RFBR, project number 19-29-06078.

For citation: Shashev, D.V., Bondarchuk, A.S. (2023) Algorithm for calculating the coordinates of connected components of a binary image using a tunable computing environment. Vestnik Tomskogo gosudarstvennogo universiteta. Upravlenie, vychislitelnaja tehnika i informatika - Tomsk State University Journal of Control and Computer Science. 65. pp. 116-125. doi: 10.17223/19988605/65/12

© Д.В. Шашев, А.С. Бондарчук, 2023

Введение

Необходимость обнаружения объектов на изображениях возникает во многих прикладных и научных областях. Аппаратно-программные комплексы (АПК), реализующие эту функцию, используются для решения различных задач, включая автоматизацию технологических процессов на производстве, распознавание объектов дорожной сцены беспилотными автомобилями и дронами, мониторинг в агрессивных средах и т.д. При решении подобных задач необходимы эффективные АПК, способные обеспечить максимально возможную скорость обработки видеокадров, так как от нее зависит время реакции системы управления, например того же беспилотного автомобиля. Для решения проблемы сокращения времени анализа видеоинформации необходимо улучшать существующие и развивать новые алгоритмы обработки изображений.

Объекты интереса на изображениях могут находиться в широком диапазоне размеров и форматных соотношений. Поиск потенциальных объектов на изображении и определение регионов интереса можно реализовать на вычислительных архитектурах параллельно-конвейерного типа, таких как Field-Programmable Gare Arrays (FPGA; ПЛИС), которые де-факто показывают высокую эффективность в области обработки цифровых сигналов, в том числе обеспечивают высокую скорость выполнения алгоритмов [1, 2].

В настоящей работе представлен альтернативный способ решения задачи поиска на бинарном изображении областей связных компонент, которые являются потенциальными объектами интереса. В основу данного способа положена концепция обработки изображения на перестраиваемой вычислительной среде, отличающейся возможностью обрабатывать каждый пиксель исходного изображения параллельно. За счет идеи массового попиксельного параллелизма в обработке изображений можно достичь высокого быстродействия выполнения алгоритмов.

Перестраиваемые вычислительные среды (ПВС) - математическая модель широкого класса вычислительных устройств, основанных на идее организованного совместного функционирования большого количества однотипных, относительно простых вычислительных элементов (ВЭ), расположенных в виде регулярной решетки и попарно соединенных с соседними вычислительными элементами [3-6]. Каждый ВЭ может быть независимо настроен при помощи внешнего управляющего сигнала на одну операцию из некоторого предопределенного базиса. Организованное функционирование большого количества вычислителей позволяет реализовать на среде сложные алгоритмы обработки. Таким образом, вычислительные возможности перестраиваемой среды ограничиваются количеством элементов и заданным базисом операций.

В общем случае ПВС может иметь ВЭ произвольной формы и быть одно-, двух- и трехмерной. В данной работе будут рассматриваться двумерные среды с квадратными ВЭ. Таким образом, каждый неграничный ВЭ будет соединен с четырьмя соседними элементами (рис. 1).

Рис. 1. Перестраиваемая вычислительная среда Fig. 1. Reconfigurable computing environment

В работах [7-9] описывается реализация алгоритмов цифровой обработки изображений на ПВС. Для таких алгоритмов является актуальной проблема определения интересующей области изображения для ее последующего анализа. В данной работе рассматривается алгоритм поиска регионов интереса на бинарном изображении.

1. Способ и алгоритм определения местоположения регионов интереса

на бинарном изображении

Для идентификации объектов на бинарном изображении необходимо определить группы пикселей со значением 1, которые «связаны» друг с другом. То есть объектами на бинарном изображении в данном случае будут считаться связные компоненты этого изображения. В общем случае связная компонента - это множество пикселей Р со значением 1, в котором для каждой пары пикселей рп и рт в Р существует последовательность пикселей рп, ..., рт такая, что:

- все пиксели в последовательности находятся в множестве Р, т.е. имеют значение 1;

- каждые 2 пикселя, находящиеся в последовательности рядом, являются «соседями».

У элемента изображения р с координатами (7, у) имеется два соседа по вертикали и два по горизонтали. Координаты таких соседей отражаются в виде следующих выражений: (7 + 1, у), (7 - 1, у), (7,у + 1), (7,у - 1).

Это множество пикселей называется четверкой соседей р. Каждый его элемент находится на единичном расстоянии от (7, у). Таким образом, некоторые соседние пиксели могут отсутствовать, например в случае, когда пиксель р(7, у) находится на краю изображения. Для того чтобы объекты не примыкали к краям, изображения расширяют фоном из нулевых элементов.

Четыре соседар по диагонали имеют координаты (7 + 1,у + 1), (7 + 1,у - 1), (7 - 1,у + 1), (7 - 1,у - 1). Совокупность четверки соседей и пикселей, расположенных на представленных координатах, называют восьмеркой соседей. Так возникает два вида связности, а именно: четырехсвязность и восьми-связность [10]. Далее в рассматриваемом алгоритме будет использоваться восьмисвязность, при которой считается, что каждый пиксель бинарного изображения имеет восемь соседей.

Принцип работы разработанного алгоритма вычисления координат связных компонент бинарного изображения заключается в следующем: для каждого пикселя бинарного изображения со значением 1 рассчитываются координаты границ области, к которой он принадлежит; за необходимое количество тактов работы ВЭ ПВС информация о координате i самого верхнего и нижнего связного пикселя области передается всем ВЭ, обрабатывающим данную область; также передается информация о координате у самого левого и правого связного пикселя. Таким образом, на выходе алгоритма каждый ВЭ, обрабатывающий связный пиксель, выдает координаты верхнего левого и правого нижнего угла рамки, окружающей соответствующую группу связных пикселей.

Для реализации на ПВС алгоритма вычисления координат связных компонент бинарного изображения необходимо использовать ПВС, состоящую из двух слоев. При этом ВЭ имеют шесть связей между слоями ПВС, через которые на ВЭ второго слоя передаются значения обрабатываемых пикселей, координаты ВЭ и результаты обработки первого слоя. На рис. 2, а представлена структура связи ВЭ ПВС с каждым из своих восьми соседей, на рис. 2, Ь показана связь ВЭ между слоями ПВС.

Работу ВЭ первого слоя ПВС опишем следующим образом. Координаты элементарного вычислителя (79, у'9), обрабатывающего рассматриваемый пиксель со значением х, а также значения координат соседних ВЭ используются для вычисления минимальных значений координат области связных компонент. Координаты строки, полученные от соседних ВЭ, объединены с 79 и представлены в виде множества I = {71, 72, 7з, 74, 75, 76, 77, 78, 79}, координаты столбца, полученные от соседних ВЭ, вместе с у'9 представлены в виде множества J = {/1,у2,]3,у4,у'5,у6,у7,у8,у'9}. При этом значения координат в множествах I и J могут изменятся на каждом такте работы 7 ВЭ. В таком случае 7 = 1, ..., п, где п - это количество тактов работы ВЭ. Тогда обозначим множества I и J для каждого такта как множества I и Jt.

b

Рис. 2. Структура связи элементарных вычислителей: а - в одном слое ПВС, b - между слоями ПВС Fig. 2. The structure of communication of elementary calculators: a) in one layer of RCE, b) between layers of RCE

При определении минимальных значений координат нулевые значения не учитываются. По этой причине далее будут рассматриваться множества, в которых отсутствуют нулевые значения:

V = {i е It\i ф 0}, Wt = {j е Jt\j ф 0}.

Минимумом числового множества V является элемент qt, удовлетворяющий следующим условиям qt 6 Vt, Vv 6 Vt: qt < v. Если Vt = 0, то qt принимает нулевое значение. Аналогично элемент ht является минимумом множества Wt, если ht 6 Wt, Vw 6 Wt: ht < w, и равен нулю при Wt = 0. Минимальные значения координат (qt и ht) умножаются на значение x обрабатываемого пикселя, это сделано для того, чтобы учитывать только пиксели бинарного изображения со значением 1:

at = x ■ qt,

kt = x ■ ht.

Полученные результаты вычисления at и kt сравниваются с результатами, полученными на предыдущем такте работы. Также результаты предыдущего такта передаются соседним ВЭ с помощью

межячеестых связей перестраиваемой вычислительной среды (наборы г}, ..., г/ и с}, ..., с/). На каждом такте работы ВЭ обрабатывает координаты, которые были получены от соседних ВЭ. Такая обработка данных продолжается, пока значения а1 и ^, полученные на текущем такте работы ВЭ, не будут равны соответствующим значениям предыдущего такта, и так для всех ВЭ. Обозначим результаты сравнения символами /1 и /2, тогда

*=1

/2 =■

1, а = О, а ф а _1,

[1, к, = к,_1,

[о, к, ф к,_1.

Каждый ВЭ принимает на входы I и и сигналы от соседних левого и верхнего ВЭ соответственно. Для ВЭ, находящихся на границе ПВС и не имеющих соседей слева и сверху, эти сигналы равны 1. Результат конъюнкции значений I, и,/ и/ передается соседним ВЭ справа и снизу через выходы sr и Sd. В результате в ВЭ, находящемся в правом нижнем углу первого слоя ПВС, формируется сигнал 5, который равен 1, если/ и/2 равны 1 в каждом ВЭ этого слоя ПВС. Данный сигнал 5 подается на вход о ВЭ второго слоя перестраиваемой вычислительной среды. С помощью этого сигнала передается информация о том, что вычисления на первом слое ПВС завершены. Через выходы т} и т? ВЭ первого слоя ПВС на входы р1 и рг ВЭ второго слоя поступают значения at и ^.

Работа элементарных вычислителей второго слоя ПВС схожа по принципу с ВЭ первого слоя с отличием лишь в том, что в данных ВЭ определяются максимальные значения координат области связных компонент.

Максимумом числового множества I является элемент Qt, удовлетворяющий следующим условиям: Qt 6 Л, Vi 6 1{. Qt > 7. Аналогично элемент Н является максимумом множества Jt, если Н £ Jt, V 6 Jt: Н >у.

Обозначим результаты сравнения значений, полученных на текущем и предыдущем тактах, символами/3 и/4, тогда

|1, Л, = Л, _1, (О, Л, фЛ_1,

|1, К, = К, _1, и (0, К, ф К,_1,

А = х ■ й,

К, = х ■ Н,.

Так же, как и в первом слое ПВС, каждый ВЭ второго слоя ПВС формирует и передает сигнал, используя входы I, и и выходы 5Г, Sd. В ВЭ, находящемся в правом нижнем углу второго слоя ПВС, формируется сигнал, который равен 1, если/з и/ равны 1 в каждом ВЭ этого слоя ПВС. Данный сигнал с помощью логической операции конъюнкции объединяется с сигналом от первого слоя ПВС, поступающим на вход от ВЭ. Результат конъюнкции от ВЭ, находящегося в правом нижнем углу второго слоя ПВС, распространяется по всем остальным ВЭ этого слоя с помощью входов г, й и выходов 5и, 5;. В каждом ВЭ второго слоя ПВС результат конъюнкции умножается на р1, р2, At и К для того, чтобы на выходах ВЭ учитывались только те значения, которые удовлетворяют поставленным условиям. Также результат конъюнкции в ВЭ в правом нижнем углу второго слоя ПВС поступает на выход 5. Этот сигнал необходим для получения информации о том, что вычисления на первом и втором слоях ПВС завершены. Блок-схема работы алгоритма для ВЭ ПВС представлена на рис. 3. В ней описан алгоритм, реализуемый каждым ВЭ ПВС.

На выходах т}, тД т/, т? элементарных вычислителей второго слоя перестраиваемой вычислительной среды формируются значения, представляющие собой координаты регионов интереса. Под регионом интереса в нашем случае будем понимать рамку прямоугольной формы, окружающую

группу связных пикселей, которым принадлежит рассматриваемый пиксель. Здесь (т}, т?) - это координаты верхнего левого угла прямоугольной рамки, а (тт¡4) - координаты правого нижнего угла. Пример работы алгоритма представлен на рис. 4.

Рис. 3. Блок-схема алгоритма для ВЭ второго слоя ПВС Fig. 3. Flowchart of the algorithm for the second layer of the RCE

На рис. 4 слева представлена координатная плоскость исходного бинарного изображения, пиксели которого принимают значения 0 или 1, а покоординатные оси указывают на порядковый номер каждого пикселя в строке и столбце. Предполагаемый объект интереса на бинарном изображении определяется пикселями, значение которых равно 1. Соответственно, необходимо выделить все обла-

сти интереса путем определения соответствующих связных компонент, т.е. группы пикселей, расположенных близко друг к другу и имеющих значения 1. Для выделения этих групп необходимо определить координаты описывающего каждую группу прямоугольника, т.е. определить координаты левого верхнего угла и правого нижнего.

1 2 3 4 5 j ¡2345]

1 1 1 0 0 0 1 1,1,2,2 1.1.2,2 0,0.0.0 0,0,0,0 0,0,0,0

2 0 1 0 1 0 2 0.0.0.0 1.1.2.2 0.0.0.0 2,2,5,4 0,0,0,0

3 0 0 0 1 0 ^^^^^ 3 0.0,0.0 0.0.0.0 0,0.0,0 2,2.5.4 0.0.0,0

4 0 0 1 1 0 4 0.0.0.0 0,0.0.0 2.2.5.4 2,2,5,4 0,0,0,0

5 0 1 0 0 0 5 0.0.0.0 2.2.5,4 0,0.0,0 0,0,0,0 0,0,0,0

i Значения пикселей исходного Значения, полученные

бинарного изображения на выходе ЭВ ПВС

Рис. 4. Принцип работы алгоритма нахождения координат связных компонент бинарного изображения Fig. 4. The principle of operation of the algorithm for finding the coordinates of connected components of a binary image

На рис. 4 справа представлен результат работы алгоритма. Как видно, каждому связному пикселю в группе присвоено значение координат описывающего эту группу прямоугольника.

2. Синтез ВЭ ПВС

Для осуществления синтеза ВЭ ПВС операциям на первом и втором слоях ПВС был присвоен код настройки (z2, zi), с помощью которого осуществляется перестраиваемость автомата на выполнение необходимой операции, а также системы выходных формул:

1) при z2 = 0, z1 = 0:

r = r2 = Г = r4 = r = rt6 = r = rf = at

cI — 2 — — — — — — — /т-

t = ct = ct = ct = ct = ct = ct = ct = kt _i j

b = x,

m1 = at,

< mt = kt, m3 = i9,

m,4 = j9,

S = Sr = sd = Ul/i/2j

su = Si = 0;

2) при Z2 = 1, zi = 0:

г = rt2 = rt3 = rt4 = rt5 = rt6 = r = rf = At _i,

Л — „2 _ „3 _ „4 _ „5 _ „6 _ „1 _ „8 _ Y ct = ct = ct = ct = ct = ct = ct = ct = Kt_1j

b = x,

m} = oul/3/4 pi,

< mt = oul/3/4P2, mf = oul/3/4 At, mt4 = oul/3/4 Kt, Sr = Sd = ul/3/4j

s = Su = Sl = oul/3/4;

3) при Z2 = 0, Z1 = 1:

r = rt 2 = r = r4 = rt5 = rf = r7 = rf = At _,

Л — „2 — „Ъ _ „А _ „5 _ „6 _ „7 _ „8 _ v-ct _ ct _ ct _ ct _ ct = ct = ct = ct = Kt_l1

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

b = x,

m\ = rpi,

mt2 = rP2,

mt3 = rAt,

mi = rKt,

s = Sr = = ul^/i1 Su = Si = r;

4) при Z2 = 1, Z1 = 1

У = У2 = Г? = Г,А = Г,5 = Г,6 = Г,7 = У? = Л, _ь

п1 — — г3 — „4 _ „5 _ „в _ „1 _ „8 _ V-= = = = = = = = К,_1 ,

Ь = х, т\ = ёр1, т, = ёр2, т.3 = , т,4 = dKt,

5 = Яу = Sd = и1/з/45 5и = d 5 = 0.

На базе структурно-автоматного метода [2] была получена следующая система уравнений, отражающая работу ВЭ ПВС:

у} = У2 = г,3 = г,4 = у5 = у6 = Г = г? = _1 + А _ (¿1 V ¿2), с) = с2 = с3 = с4 = с5 = св = с7 = с8 = г^Ь_1 + К,_1 (¿1 V г,), Ь = х,

т) = 2122а, + р1 (^1ои1/ъ /4 + г1 22г + г1 Z2d), т2 = 2122к( + р2( г2 21ои1/3 /4 + 2{22 г + 2122 d), < т3 = 2122}9 + Л( (г2'21ои1/ъ/4 + 2{22г + г1 ), т,4 = 2122 ]'9 + Kt (г2 '21ои1/ъ /4 + г1 22г + 2122 d), 5 = и1 (¿1 ¿2/1/2 + ¿2 го/ъ/ь + ¿1/3/4)), Яу = Sd = и1 (2122/1/2 + //(¿1 V ¿2)),

яи = ¿2 ¿ои//4 + ^2г + ¿2 d, 5 = ¿2 ¿ои//4 + ¿{¿г Г.

Таким образом, имея систему уравнений ВЭ ПВС, можно конфигурировать соответствующую вычислительную структуру в FPGA. ПВС будет строиться на FPGA таким же образом, конфигурируя необходимое количество ВЭ и организовывая соединительные каналы обмена данными между ними.

3. Симуляция разработанных моделей

Синтезированная ПВС для реализации алгоритма вычисления регионов интереса была протестирована с помощью ПЛИС Cyclone V SX SoC 5CSXFC6D6F31C6, а именно использовалась отла-

дочная плата DE10-Standard. При этом для ускорения эксперимента использовалось тестовое изображение размерностью 5 х 5 пикселей для оценки времени обработки.

Результаты тестирования алгоритма показали, что максимальная скорость обработки изображения размерностью 5 х 5 пикселей составляет 0,15 мс. Такой результат по скорости обработки изображения позволяет с большим запасом обеспечивать работу алгоритма в режиме реального времени.

Заключение

Использование концепции процесса цифровой обработки изображений на ПВС является весьма перспективным. Данная концепция позволяет реализовать на специализированных вычислителях новые алгоритмы, которые ранее не имели практической значимости ввиду крайне низкой производительности использования стандартных вычислительных средств. Концепция процесса обработки изображений на ПВС позволяет совместить алгоритм определения местоположения регионов интереса с любым другим уже существующим алгоритмом. Представленный алгоритм может быть использован в системах технического зрения для поиска и подсчета областей связных пикселей в бинарном изображении с последующим их анализом. Реализация разработанного алгоритма, использующего преимущества параллельно-конвейерной архитектуры вычислительных систем, позволит повысить быстродействие процессов обработки изображений за счет осуществления одновременной параллельной обработки всех пикселей бинарного изображения.

Список источников

1. Li T., He B., Zheng Y. Research and Implementation of High Computational Power for Training and Inference of Convolutional

Neural Networks // Applied Sciences. 2023. V. 13. Art. 1003. doi: 10.3390/app13021003

2. Guo L., Wu S. FPGA Implementation of a Real-Time Edge Detection System Based on an Improved Canny Algorithm // Applied

Sciences. 2023. V. 13. Art. 870. doi: 10.3390/app13020870

3. Шашев Д.В., Шидловский С.В. Морфологическая обработка бинарных изображений с использованием перестраиваемых

вычислительных сред // Автометрия. 2015. Т. 51, № 3. С. 19-26.

4. Шидловский С.В. Автоматическое управление. Перестраиваемые структуры. Томск : Том. гос. ун-т, 2006. 288 с.

5. Шашев Д.В., Шатравин В.В. Реализация сигмоидной функции активации с помощью концепции перестраиваемых вычис-

лительных сред // Вестник Томского государственного университета. Управление, вычислительная техника и информатика. 2022. № 61. С. 117-127.

6. Chen Y., Li T., Chen X., Cai Z., Su T. High-Frequency Systolic Array-Based Transformer Accelerator on Field Programmable

Gate Arrays // Electronics. 2023. V. 12. Art. 822. doi: 10.3390/electronics12040822

7. Шашев Д.В., Шидловский С.В., Окунский М.В. Бинарный градиент и его вычисление с помощью концепции перестраи-

ваемых вычислительных сред // Телекоммуникации. 2021. № 2. С. 35-48.

8. Бондарчук А.С., Шашев Д.В., Шидловский С.В. Синтез модели перестраиваемой вычислительной среды для определения

характеристик градиента изображения // Автометрия. 2021. Т. 57, № 2. С. 22-31.

9. Shashev D.V., Taganov A.A., Mondal M., Okunsky M.V. Designing LBP-descriptor for reconfigurable computing environments //

JPCS. 2020. V. 1611. Art. 012070.

10. Гонсалес Р., Вудс Р. Цифровая обработка изображений. М. : Техносфера, 2005. 1072 с.

References

1. Li, T., He, B. & Zheng, Y. (2023) Research and Implementation of High Computational Power for Training and Inference

of Convolutional Neural Networks. Applied Sciences. 13. Art. 1003. DOI: 10.3390/app13021003

2. Guo, L. & Wu, S. (2023) FPGA Implementation of a Real-Time Edge Detection System Based on an Improved Canny Algorithm.

Applied Sciences. 13. Art. 870. DOI: 10.3390/app13020870

3. Shashev, D.V. & Shidlovskiy, S.V. (2015) Morphological processing of binary images using reconfigurable computing environments.

Optoelectronics, Instrumentation and Data Processing. 51. pp. 227-233. DOI: https://doi.org/10.3103/S8756699015030036

4. Shidlovskiy, S.V. (2006) Avtomaticheskoe upravlenie. Perestraivaemye struktury [Automatic Control. Reconfigurable Structures].

Tomsk: Tomsk State University.

5. Shashev, D.V. & Shatravin, V.V. (2022) Implementation of the sigmoid activation function using the concept of tunable compu-

ting environments. Vestnik Tomskogo gosudarstvennogo universiteta. Upravlenie, vychislitel'naya tekhnika i informatika -Tomsk State University Journal of Control and Computer Science. 61. pp. 117-127. DOI: 10.17223/19988605/61/12

6. Chen, Y., Li, T., Chen, X., Cai, Z. & Su, T. (2023) High-Frequency Systolic Array-Based Transformer Accelerator on Field

Programmable Gate Arrays. Electronics. 12. Art. 822. DOI: 10.3390/electronics12040822

7. Shashev, D.V., Shidlovskiy, S.V. & Okunsky, M.V. (2021) Binary gradient and its calculation using the concept of reconfigurable

computing environments. Telekommunikatsii - Telecommunications. 2. pp. 35-48.

8. Bondarchuk, A.S., Shashev, D.V. & Shidlovskiy, S.V. (2021) Design of a Model of a Reconfigurable Computing Environment for

Determining Image Gradient Characteristics. Optoelectronics, Instrumentation and Data Processing. 57. pp. 132-140. DOI: 10.3103/S8756699021020047

9. Shashev, D.V., Taganov, A.A., Mondal, M. & Okunsky, M.V. (2020) Designing LBP-descriptor for reconfigurable computing

environments. JPCS. 1611. Art. 012070.

10. Gonzalez, R. & Woods, R. (2005) Tsifrovaya obrabotka izobrazheniy [Digital Image Processing]. Moscow: Tekhnosfera.

Информация об авторах:

Шашев Дмитрий Вадимович _ кандидат технических наук, доцент кафедры управления качеством факультета инновационных технологий Томского государственного университета (Томск, Россия). E-mail: dshashev@mail.ru Бондарчук Антон Сергеевич _ ассистент кафедры информационного обеспечения инновационной деятельности факультета инновационных технологий Томского государственного университета (Томск, Россия). E-mail: bondarchuk.a.c@gmail.com

Вклад авторов: все авторы сделали эквивалентный вклад в подготовку публикации. Авторы заявляют об отсутствии конфликта интересов.

Information about the authors:

Shashev Dmitriy V. (Candidate of Technical Sciences, Associate Professor, National Research Tomsk State University, Tomsk, Russian Federation). E-mail: dshashev@mail.ru

Bondarchuk Anton S. (Assistant Professor, National Research Tomsk State University, Tomsk, Russian Federation). E-mail: bondarchuk.a.c@gmail.com

Contribution of the authors: the authors contributed equally to this article. The authors declare no conflicts of interests.

Received 02.05.2023; accepted for publication 08.12.2023 Поступила в редакцию 02.05.2023; принята к публикации 08.12.2023

i Надоели баннеры? Вы всегда можете отключить рекламу.