Научная статья на тему 'Высокоскоростной видеорегистратор с использованием «Системы на кристалле» на основе ПЛИС архитектуры FPGA'

Высокоскоростной видеорегистратор с использованием «Системы на кристалле» на основе ПЛИС архитектуры FPGA Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
472
133
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ВИДЕОРЕГИСТРАТОР / ВИДЕОСЕНСОР / FPGA / VIDEO CAMERA / IMAGE SENSOR

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Постоев Александр Иванович, Соловьев Андрей Александрович, Иордан Владимир Иванович

Приведены структурные схемы видеосенсора и видеорегистратора, предназначенного для изучения волновой динамики плазменных струй при напылении порошковых покрытий, а также изложены принципы их работы. В качестве видеосенсора использована фотоматрица типа КМОП-ФД СБИС с высоким разрешением, а высокоскоростной регистратор потока видеоизображений основан на ПЛИС компании Xilinx с архитектурой FPGA.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

The high-speed video registrator with use of «system on a crystal» on a basis PLD of architecture FPGA

The block diagrams of image sensor and of video registrator meant for studying of wave dynamics of plasma jets at a spraying of powder coatings are resulted, and also principles of their work are stated. The photomatrix of type of CMOS with the high permission as image sensor is used. High-speed registrator of video image stream on PLD of company Xilinx with architecture FPGA is based.

Текст научной работы на тему «Высокоскоростной видеорегистратор с использованием «Системы на кристалле» на основе ПЛИС архитектуры FPGA»

УДК 004.415.2, 621.383

А.И. Постоев, А.А. Соловьев, В.И. Иордан

Высокоскоростной видеорегистратор с использованием «системы на кристалле» на основе ПЛИС архитектуры РРОД

Приведены структурные схемы видеосенсора и видеорегистратора, предназначенного для изучения волновой динамики плазменных струй при напылении порошковых покрытий, а также изложены принципы их работы. В качестве видеосенсора использована фотоматрица типа КМОП-ФД СБИС с высоким разрешением, а высокоскоростной регистратор потока видеоизображений основан на ПЛИС компании Хіііпх с архитектурой БРвЛ.

Ключевые слова: видеорегистратор, видеосенсор, ЕРвЛ.

Исследование теоретическими методами таких быстропротекающих процессов, как процесс «самораспространяющегося высокотемпературного синтеза (СВС)» материалов из порошков металлов, процессов плазменного или детонационно-газового напыления (ДГН) порошковых покрытий, сопряжено с большими трудностями. Альтернативой такому теоретическому подходу исследования указанных выше процессов может служить цифровая обработка потока изображений различных стадий протекания реакции СВ-синтеза или технологической струи ДГН (либо, например, плазменной струи). Цифровые фотокамеры, основными элементами которых являются современные матричные фотодиодные (ФД) приемники или приемники на основе приборов с зарядовой связью (ПЗС), представляющие собой большие и сверхбольшие интегральные схемы (БИС и СБИС) с интегрированным процессором [1], позволяют производить скоростную съемку быстропротекающих процессов (порядка тысячи кадров/с и более). Из таких изображений можно получить большое количество информативных характеристик. Например, в отношении реакции СВ-синтеза появляется возможность исследовать форму и размеры очагов горения, температуру локальных областей реакции (в том числе и сверхадиабатические температуры) и их фазовых состояний [2]. В процессах ДГН характерная скоротечность процессов теплового взрыва и горения может быть зарегистрирована с помощью скоростной съемки быстродействующими цифровыми камерами на основе матричных приемников класса КМОП-ФД СБИС.

В современных условиях требуются быстродействующие фотоприемные СБИС, содержащие более 106 фоточувствительных элементов, с цифровым 8-10-битным выходом и скоростью вывода более 300 кадров/с. Этим требованиям удовлетворяют КМОП-ФД различных фирм [1]. В настоящее время разработаны многокамерные системы, позволяющие получать круговой обзор и синтезировать изображение интересующих объектов с высоким разрешением, и многоспектральные системы видимого и ИК-диапазонов, построенные на КМОП-ФД-приемниках с узкополосными оптическими фильтрами [1].

Наиболее перспективными в последнее время являются «интеллектуальные» КМОП-ФД-матрицы, которые можно разделить на два типа: приемники с интегрированным процессором, позволяющим программировать различные задачи обработки изображения, и приемники с обработкой сигналов в каждом активном элементе. Примером интеллектуальных КМОП-ФД первого типа являются фотоприемные СБИС фирм Siemens и РЬоІоЬії [1]. Аналоговая обработка сигналов в каждом активном элементе или их группе позволяет выполнять в реальном времени классические методы обработки изображения: сжатие, выделение контуров и т. п. Возможно создание однокристальных нейроподобных сетей с оптическим входом, обрабатывающих двухмерные изображения и реализующих функции технического зрения. Основное достоинство КМОП-ФД приемников заключается в возможности создания однокристальных и многокристальных цифровых камер с устройствами аналоговой, цифровой и нейроподобной обработки потока изображений [1], т.е. создания интеллектуальных камер, которые в настоящее время и ближайшей перспективе позволят реализовать системы технического и искусственного зрения, сопоставимого по характеристикам с биологическим зрением.

В регистраторе потока изображений, который предлагается в данной работе, используется видеосенсор ЬиРЛ-300, а также может быть использован более современный сенсор ЬИРЛ-1300-2,

позволяющий производить высокоскоростную съемку высокого качества. Видеосенсор LUPA-300 имеет следующие характеристики: размер активной области 6,3х4,7 мм, разрешение 640x480 пикселей, чувствительность 3200 В/(Дж/м2). При полном разрешении частота кадров составляет 247,5 кадров в секунду, а при разрешении 256x256 пикселей - 1076 кадров в секунду. Видеосенсор LUPA-1300-2 имеет более высокие характеристики: размер активной области 17,92x14,34 мм, разрешение 1280x1024 пикселей, чувствительность 7639 В/(Дж/м2). При полном разрешении частота кадров составляет 506 кадров в секунду, а при разрешении 256x256 пикселей - 6846 кадров в секунду.

В устройстве предполагается в качестве внешней памяти использовать твердотельный накопитель (SSD, solid-state drive) без движущихся механических частей. SSD состоят из микросхем памяти и управляющего контроллера. Твердотельный накопитель имеет странично-блочную организацию (запись и чтение производится по странице целиком, стирание - поблочно). В новом поколении твердотельных накопителей используется интерфейс SATA третьего поколения, его применение весьма актуально с учетом его более высокой производительности. Скорости чтения и записи по -следних моделей дисков находятся, соответственно, на уровне 500 и 315 Мб/с.

Структурная схема видеосенсора LUPA-300 приведена на рис. 1. Сенсор состоит из массива пикселей, адресных регистров по направлениям Х и Y, усилителей по столбцам, АЦП и мультиплексора. Работа с сенсором осуществляется по интерфейсу SPI. Считывание данных производится последовательно построчно. Кроме этого, видеосенсор имеет функции «оконного» и чересстрочного считывания. При оконном считывании используется адресация с шагом 8 пикселей по горизонтали, а по вертикали - с шагом в 1 пиксель.

Чтобы согласовать выходные уровни считываемых сигналов активной области сенсора с динамическим диапазоном АЦП, используются программируемые усилители, которые позволяют задавать коэффициент передачи и уровни смещения сигналов.

Сигналы, группируемые каждый раз по четыре пикселя с помощью Х-сдвигового регистра, приходят по одному на каждый из четырех АЦП, преобразуясь в цифровую форму, и затем через мультиплексор передаются на одну выходную шину.

С целью сокращения количества микросхем в процессе проектирования и реализации видеорегистратора была применена ПЛИС компании Xilinx. Это позволило повысить надёжность системы и снизить сложность разработки печатной платы. Кроме того, применение ПЛИС обеспечивает преимущества перед другими вариантами реализации устройства, сохраняя при этом гибкость системы и возможность её быстрой реконфигурации. Функции управления и сопряжения с внешними устройствами в видеорегистраторе могут быть обеспечены двумя способами. Первый способ заключается в самостоятельной разработке специализированного процессорного ядра, выполняющего необходимые функции в соответствии с заданным алгоритмом управления. Второй способ заключается в формировании на кристалле процессорного ядра с архитектурой одного из перспективных современных микроконтроллеров и необходимого контроллера интерфейсов. Для видеорегистратора более эффективным является второй вариант, который позволяет использовать стандартные средства разработки программного обеспечения для проектируемой «системы на кристалле». При этом встает задача выбора архитектуры управляющего процессора. В составе современных «систем на кристалле» используются различные типы программных процессорных модулей, реализующих архитектуру популярных высокопроизводительных 32-разрядных процессоров. В нашем случае было принято решение использовать процессор на основе ядра ARM9.

Структурная схема видеорегистратора представлена на рис. 2. Основными блоками видеорегистратора являются видеосенсор и ПЛИС. В свою очередь, к ПЛИС подключаются дополнительные элементы, такие как дисковый массив, флеш-память, DDR-память и дисплей. На основе ПЛИС реализованы: процессор на ядре ARM9, контроллер интерфейсов, контроллер RAID-массивов, блок управления видеосенсором и блок обработки видеосигнала.

Данные для конфигурирования режима работы видеосенсора хранятся во флеш-памяти регистратора, а также могут быть загружены из персонального компьютера (ПК). Данные передаются в

Он

Массив пикселей 640 х 480

Усилитель по столбцам

X - сдвиговый регистр

АЦП АЦП АЦП АЦП

х МУ”Т“Р 7

Рис. 1. Структурная схема видеосенсора ЬИРА-300

блок управления видеосенсором, который, в свою очередь, производит конфигурирование режима работы видеосенсора. Блок управления видеосенсором определяет размер области сканирования, частоту кадров, смещение для усилителя с программируемым коэффициентом передачи. При записи видеорегистратором изображения происходит передача зарегистрированных данных от видеосенсора в блок обработки сигнала.

і к

1 Г

Дисплей

Рис. 2. Структурная схема видеорегистратора

В блоке обработки сигнала производится комплексная обработка видеоизображения, после которой информация поступает либо в контроллер RAID-массивов, либо в процессор, либо в контроллер интерфейсов (в зависимости от режима работы видеорегистратора). Информация, поступающая в контроллер RAID-массивов, записывается на внешние накопители, подключенные к видеорегистратору. Информация, поступающая в процессор, может быть подвержена дальнейшей математической обработке, а также может быть просто выведена через контроллер интерфейсов на дисплей устройства. Информация, поступающая в контроллер интерфейсов, непосредственно передается на внешнее устройство через необходимый интерфейс.

Вывод. Архитектурные решения на основе современных ПЛИС и дальнейшее развитие технологии и схемотехники СБИС расширяют возможности по исследованию различных быстропроте-кающих процессов, в том числе и процессов напыления покрытий и синтеза новых материалов с улучшенными функциональными характеристиками.

Литература

1. Стемпковский А.Л. СБИС - перспективная элементная база однокристальных систем приёма и обработки изображений / А. Л. Стемпковский, В.А. Шилин // Электроника: наука, технология, бизнес. - 2003. - № 2. - С. 14-20.

2. Применение интеллектуальных матричных приемников класса КМОП-ФД СБИС и ФПЗС для исследования волновой динамики процессов СВ-синтеза и напыления порошковых материалов /

B.И. Иордан, А.В. Калачев, А.А. Соловьев, А.И. Постоев // Ползуновский альманах. - 2010. - Т. 2. -

C. 21-24.

Постоев Александр Иванович

Аспирант каф. вычислительной техники и электроники АлтГУ, г. Барнаул Тел.: (385-2) 38-07-51 Эл. почта: alexstud@bk.ru

Соловьев Андрей Александрович

Ассистент каф. вычислительной техники и электроники АлтГУ АлтГУ

Тел.: (385-2) 38-07-51

Эл. почта: asus_work@mail.ru

Иордан Владимир Иванович

Канд. физ.-мат. наук, доцент каф. вычислительной техники и электроники АлтГУ АлтГУ

Тел.: (385-2) 38-07-51

Эл. почта: jordan@phys.asu.ru

Postoev A.I., Solovev A.A., Jordan VI.

The high-speed video registrator with the use of «system on a crystal» based on PLD of architecture FPGA

There are given the results of the block diagrams of image sensor and video registrator, which are meant for studying of wave dynamics of plasma jets when spraying powder coatings, and also there are stated the principles of their work. The photomatrix of type of CMOS with the high permission is used as image sensor. Highspeed registrator of video image stream is based on PLD of Xilinx company with FPGA architecture.

Keywords: video camera, image sensor, FPGA.

i Надоели баннеры? Вы всегда можете отключить рекламу.