Научная статья на тему 'Turbo Tester – diagnostic package for research and training'

Turbo Tester – diagnostic package for research and training Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
181
58
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Aarna M., Ivask E., Jutman A., Orasson E., Raik J.

This paper describes a diagnostic software package called Turbo Tester. It contains a variety of tools related to the area of testing and diagnosis of integrated circuits. The range of tools includes test generators, logic and fault simulators, a test optimizer, a module for hazard analysis, built-in self-test simulators, design verification and design error diagnosis tools. The range of compatible diagnostic tools forms, via their interaction and complementary operation, a homogeneous research environment, which provides good possibilities for experimental research. Due to this fact, there are a number of scientific papers became possible. These papers have been presented at international conferences and published in reviewed journals. We give a couple of examples of such experiments in this paper. We also describe some laboratory work scenarios for students.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Turbo Tester – diagnostic package for research and training»

TURBO TESTER -DIAGNOSTIC PACKAGE FOR RESEARCH AND TRAINING

1AARNA M, IVASKE., JUTMAN A., ORASSONE., RAIK J, UBAR R, VISLOGUBOV V,

2 WUTTKE H.-D.____________________________

'Tallinn Technical University, Raja 15, 12618 Tallinn, Estonia, tt@pld.ttu.ee

2Technical University Ilmenau, Germany Dieter.

They usually have a stiff workflow of standard integrated tools bound together and should be executed accordingly to a certain scenario. It is good for a designer but not for a researcher whose main goal is the search for new efficient solutions.

During the last decade, many different low-cost tools running on PCs have been developed to fill this gap. They usually include the maj orbasic tools needed for IC design: schematics capture, layout editors, simulators, and place and route tools. However, low-cost systems for solving a large class of tasks from the dependability and diagnostics area: test synthesis and analysis, fault diagnosis, testability analysis, built-in selftest (BIST), especially for research and educational purposes, are still missing. For this reason, a diagnostic software Turbo Tester (TT) is being developed in Tallinn Technical University.

Wuttke@theoinf.tu-ilmenau.de

Abstract. This paper describes a diagnostic software package called Turbo Tester. It contains a variety of tools related to the area of testing and diagnosis of integrated circuits. The range of tools includes test generators, logic and fault simulators, a test optimizer, a module for hazard analysis, built-in self-test simulators, design verification and design error diagnosis tools. The range ofcompatible diagnostic tools forms, via their interaction and complementary operation, a homogeneous research environment, which provides good possibilities for experimental research. Due to this fact, there are a number of scientific papers became possible. These papers have been presented at international conferences and published in reviewedjournals. W e give a couple ofexamples of such experiments in this paper. We also describe some laboratory work scenarios for students.

1. Introduction

The increasing complexity of VLSI circuits and transition to Systems-on-Chip (SoC) or even Networks-on-Chip (NoC) paradigm has made test generation one ofthe most complicated and time-consuming problems in the domain of digital design. The more complex are getting electronics systems, the more important become problems of test and design for testability, as costs of verification and testing are getting the major component of design and manufacturing costs of a new product. This fact makes the research in the area of testing and diagnosis of integrated circuits (IC) a very important topic for both the industry and the academy.

Commercial CAD systems for VLSI design and test are both costly and do not provide a good variety of competing or complementary approaches to a given particular problem.

Levels:

Formats: Gate

EDIF Macro

AGM RTL

Algorithms:

Deterministic Random Genetic Circuits:

Combinational Sequential

Specifi-

cation

Design

n Test

k Generation >

/ 7

, BIST Emulation

)

Test

Set

Faulty

Area

s— Design Error Is*- Diagnosis

In this paper we briefly describe the main functionality of the Turbo Tester package and suggest possible areas of experimental research where TT can be used. Compared to previous paper [6] the reader will find new aspects of application of related tools as well as description of new functionality added to the package since that time.

Another possible application field of the TT package is the education. Entering the SoC era means that the test must become now an integral part of the VLSI and system design courses. The next generation of engineers involved with System-on-Chip (SoC) technology should be made aware of the importance of test, and trained in test technology to enable them to produce high quality and defect-free products. Therefore, we have developed a set of scenario s of laboratory works, which makes use of different aspects of the TT package. In this paper we give a short overview of these scenarios, while their full version is available in the Web [14].

The TT software consists of the following test related tools: test generation by different algorithms (deterministic, random and genetic), test program optimization, fault simulation for combinational and sequential circuits, testability analysis and fault diagnosis. TT can read the schematic entries of various contemporary VLSI CAD tools, e.g. Cadence, Synopsys, Mentor Graphics, Viewlogic, Compass, OrCAD, etc. which makes TT independent of the existing design environment. There are Turbo Tester versions available for MS Windows, Linux, and Solaris operating systems. The software is free of charge and it can be downloaded from the Web [13].

In the next section we give a short overview of the whole TT package and its main tools. It is followed by Section 3, which describes some research experiments made withTT. The overview of laboratory work scenarios is given in Section 4. Section 5 is dedicated for conclusions.

Multivalued

Simulation

Hazard

Analysis

Data

Logic Simulation /

/ /

Fault

Simulation

Defect

Library

Fault models: Stuck-at faults Physical defects

Fig. 1 Overview of Turbo Tester environment

2. Overview of Turbo Tester Package

The main set of functional modules of the Turbo Tester diagnostic software package

R&I, 2003, Ns 3

69

includes test generators, logic and fault simulators, a test optimizer, a module for hazard analysis, linear feedback shift register (LFSR) emulators for BIST, design verification and design error diagnosis tools (see Fig. 1).

The main advantage ofthe system lies in the fact that different methods and algorithms for various test problems are implemented and can be investigated as separately of each other as working together in different combinations. The latter provides a variety of different approaches to solution optimization for a particular problem.

Model Synthesis. The component library of Turbo Tester consists of Binary Decision Diagram (BDD) representations for the library components of the circuits to be processed. The library is open and can be updated for new components. The model generator creates a BDD-representation of the design from the netlist of the design, produced by e.g. schematic editor. The special kind ofBBDs is used in Turbo Tester. They are called Structurally Synthesized BDDs (SSBDD) and provide a uniform approach to solving a wide scale oftest design tasks, based on a uniform model and a restricted set of standard procedures. Unlike traditional BDDs, SSBDDs support test synthesis for gate-level structural faults. Moreover, the design canbe represented either at the gate-level or at the macro-level. The latter one is a somewhat higher representation level, where the basic elements are macros consisting of several gates at once. Onthe macro-level, a BDD is to be created for each macro, where one-to-one correspondence between signal paths in the macro and nodes in the BDD will be established. For some tasks, such representation gives faster runtimes at the same accuracy [8]. A hierarchical DD model, which combines RT-level DDs and binary DDs is also possible. This allows migration ofmethods developed for logical level also to higher (behavioral and register-transfer) levels, where tools for hierarchical test generation and simulation have already been implemented [3].

Test Generation. For automatic test patterngeneration(ATPG), random, deterministic and genetic test pattern generators (TPG) are implemented [4]. Mixed TPG strategies based on different methods can also be investigated. Tests can be generated for both, combinational and sequential circuits. Stuck-at faults and transition faults can be considered. The number of faults to be processed at the macro level will be less thanthe number of faults at the gate level (each macro-level fault represents, in general, a

subset of gate-level faults). This causes the increase in productivity of test generation at the macro level compared to that of the gate-level. The best test generation efficiency for complex systems can be achieved by using the hierarchical DD representation [3].

Test Pattern Analysis. There are single-fault simulation, parallel fault simulation, and critical pathtracing fault analysis methods implemented in the system. These competing approaches can be investigated and compared for circuits of different complexities and structures. As the result of using these tools, fault tables are calculated and test quality is evaluated for given test sequences. In a defect-oriented simulation mode the fault simulator uses a special defect library [1]. The physical defect model includes short (or bridging) faults and will be soon extended by open faults.

Test Set Optimization. The tool minimizes the number of test patterns in the test set by means of static compaction. The technique implements effective representation of fault matrices by weighted bipartite graphs. The approach contains a preprocessing step for determining the set of essential vectors. Subsequently, implications and a greedy search algorithm are applied. The proposed method offers significantly fast performance in terms of run times [10].

Multi-valued Simulation. In Turbo Tester, multi-valued simulation is applied to model the possible hazards that can occur in logic circuits. The dynamic behavior of a logic network during one single transition period can be describes by a representative waveform on the output or simply by a corresponding logic value. In other words, each waveform type has a corresponding symbol of some given alphabet. Turbo Tester’s multi-valued simulator implements 5-valued and 8-valued alphabets [11].

Design Error Diagnosis. After a digital system has been designed according to specifications, it might go through a refinement process in order to be consistent with certain design requirements (e.g. timing specifications). The changes introduced by this process (by a human or a CAD system) may lead to undesired functional inconsistencies compared to the original design. Such design errors should be identified via design verification. A design error diagnosis technique should be applied afterwards in order to locate and correct the error. InTurbo Testerwe use the same SSBDD model for both the specification (the design before modifications) and the design to be corrected. An advantage of our particular approach is the fact that it does not need a special diagnostic test to be created. It uses a normal test set instead [9].

Testability Analysis. The real cost of a digital product is expressed as: Cost(Design + Test) < Cost(Design) + Cost(Test). It follows from the fact, that the total product cost can be minimized by regarding the design and test of a product as one integral activity rather than the two disjoint unrelated activities. The latter approach is called design for testability (DFT). Among the most promising DFT methods are

Fig. 2. Graphical user interface

70

R&I, 2003, N 3

those aimed at enhancing the testability through adding redundant hardware elements or test-points (additional outputs for observing; inputs for controlling; additional flip-flops in scan-path etc.) to the circuit. The testability analysis tools of the system can be used for enumerating untestable faults, for selecting statistically hard-to-test faults, and for estimating the controllability, observability and testability characteristics for the nodes ofthe design. The tools are used for finding out where to alter the design to improve the testability.

Evaluation of Built-In Self Test (BIST) Quality. The BIST approach is represented by applications for Built-In Logic Block Observer (BILBO) and Circular Self-T est Path (CSTP) emulation. Different BIST architectures canbe simulated and the self-test quality of these architectures can be evaluated. There is a tool, which utilizes a genetic search algorithm for automatically finding good BIST architectures. It is possible to use also the general “store-and-generate” approach, where the whole test sequence will be generated on the basis of a given set of test vectors (i.e. the stored part of the test). All these vectors serve as initial input test patterns for on-line test generation by BILBO or CSTP (i.e. the generated part of the test). A Hybrid BIST technique represents an opposite approach, which also partially utilizes deterministic patterns but in the very end of the sequence. This makes it possible to achieve higher fault coverage by shorter test sequence [7]. In Section 3 we discuss the Hybrid BIST framework in more detail.

Design Interface. Turbo Tester has a powerful design interface from EDIF 2.0.0 netlist format, which supports both, combinational and sequential designs. In this way, TT can read the schematic entries of various contemporary VLSI CAD tools, e.g. Cadence, Synopsys, Mentor Graphics, Viewlogic, Compass, OrCAD etc., which makes the system open to different design environments.

Graphical User Interface. Turbo Tester Graphical UserInterface (GUI) is under development. The current working version is shown in Fig. 2. It is available for MS Windows OS only. Similarly to most of the contemporary CAD systems, TT has a dedicated shell window with a command prompt. The Turbo Tester tools can be executed as from this command prompt as by selecting corresponding entries from corresponding menus. The process output is displayed on the shell window. There is a handy visualization utility called Waveform Viewer (see Fig. 3), which illustrates properties of test sequences obtained with different test pattern generators.

Progressive Coverage of Test

Fig. 3. An example of test data representation in TT

WEB Interface. An Internet version of the Turbo Tester system (Web-TT) is available now as well. This new Web-based interface has the same functionality as the standalone TT. Users work with Web-TT by using simple HTML web pages via HTTP Internet protocol and process their data and

R&I, 2003, N 3

results even without installing this system on their local PC. The user’s OS and system requirements are not critical because TT performs all tasks on the remote server machine. Basically, an Internet connection and some Web browser (such as Netscape or MS Internet Explorer) at user’s disposal are enough for the using of this system. An entry point of the Web-TT is a Welcome page (Fig. 4). This page contains login form, system overview and site navigation help. The module selection page contains a list of all available TT modules. User should select one of them and step through a set of initial parameters for the module. Every module has its own number and types of initial parameters that user has to set. Then the task will be submitted to the system for the execution. For the monitoring and administrating of user tasks there is a status page. User has an opportunity to observe the state of all his tasks there. After the task is performed user can download its results at any time from the status page. Web-based TT system provides an attractive alternative to the standalone version that offers separation of user interface from the low-level logic, easy of administrate and support, extensibility, and most importantly easy of use. Moreover, users will always work with the latest version of TT and does not need to download or install the system locally.

Turbo Tester Loain Paae Microsoft Internet Explorer

File Edit View Favorites Tools Help

Welcome

- Overview

Password: 1 Turbo Tester (TT) is a set of tools for test and diagnos The system consists of tools for Automatic Test Patt test set optimization and multi-valued simulation. For

| Sign in j These include deterministic, random and genetic test Self-Test Path) emulation. With the aid ofTurbo Test* extracted.

New Account Sign Up Design Interface TT uses a powerful EDIF interface, which links the sy

Fig. 4. Welcome page fragment of TT Web interface

System Portability. At present, Turbo T ester canbe installed under MS Windows, Linux, and Solaris 2.x operating systems.

User Documentation. Turbo Tester installation includes a comprehensive reference manual [12], where all the functions of the system are explained. The manual is constantly updated together with the Turbo T ester package. It is designed in a style that is commonto most ofthe CAD system documentations. The document complies partly with IEEE standard Std 1063-1987for software user documentation. The manual is available at [13].

3. Research Experiments with TT

There is a number of scientific papers describing research carried out using Turbo Tester that have been published in international conferences as well as reviewed journals [1,3,4,5,7,8,9,10,11]. In this section, we give a couple of examples ofpossible research experiments with Turbo Tester.

Since test generation is one of the most important steps in the whole diagnostic framework, let us consider properties of the three described above combinational ATPGs available in TT package. Table 1 provides information about test quality and test generation time for ISCAS’85 benchmark circuits [2]. The test quality is represented by fault coverage (FC) and test length (TL). The test time was measured on a 366MHz Celeron processor under MS Windows OS. The ATPGs (except the deterministic one) were adjusted to approximate the highest

71

possible fault coverage with minimum amount of necessary test vectors. In most cases the genetic framework based ATPG was performing best by providing shortest test at the same or higher fault coverage. The best test generation time, however, was the one by the deterministic ATPG. In most cases it is several orders of magnitude shorter than that of other ATPGs. The reason, why the test length by that ATPG is not that good, lies in the fact that it is impossible to adjust it for test set minimization. Test compaction should be used after deterministic ATPG for that purposes.

Another good example of a research topic to be investigated using the Turbo Tester is the solution optimization in the Hybrid BIST framework. This approach makes use of cheap pseudorandom vectors at the first step when the fault coverage grows very fast and does not virtually depend on certain vectors. These vectors are generated on-line by an LFSR. At the second step, it applies a very limited amount of deterministic vectors that cover remaining hard-to -test faults. Such vectors are generated in advance and stored in memory.

There are several related issues which still have not found efficient solutions in the research and industrial community. One of such issues is the problem of finding the proper breakpoint between the first and the second parts of the test. This problem was illustrated in [7] and one of solutions was proposed there as well. The Turbo Tester’s BIST emulator and the deterministic ATPG were used for this purpose. Figure 5 shows a graphical solution for this problem as the trade-offbetween the memory cost and testing time. Let have the whole cost of the BIST to be defined as

CTOTAL = CT1ME + CHW = aTG + bMS

where CT1ME is the cost related to the time needed for test, CHW is the hardware cost related to the BIST architecture, TG is the length of the test generated by LFSR, MS is the number of patterns to be stored, and a,b are constants to scale the test

Table 1.

Experimental results by different ATPGs

ATPG Time, s FC, % TL

Deterministic 0,10 86,20 72

c432 Genetic 66,19 93,02 35

Random 54,87 93,02 36

Deterministic 0,18 99,33 132

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

c499 Genetic 4,62 99,33 84

Random 7,19 99,33 84

Deterministic 0,60 100 77

c880 Genetic 114,30 100 32

Random 175,92 100 34

Deterministic 0,26 99,51 126

c1355 Genetic 6,48 99,51 84

Random 8,13 99,51 84

Deterministic 0,18 99,36 139

c1908 Genetic 517,67 99,48 109

Random 114,47 99,48 108

Deterministic 0,42 95,13 151

c2670 Genetic 408,76 95,43 102

Random 3273,89 95,39 104

Deterministic 0,66 94,24 190

c3540 Genetic 1490,73 95,54 110

Random 1671,99 95,54 113

Deterministic 0,52 98,41 167

c5315 Genetic 993,82 98,89 76

Random 2473,79 98,89 79

Deterministic 0,46 99.34 45

c6288 Genetic 116,94 99,34 17

Random 2474,61 99,34 16

Deterministic 1,88 94,47 212

c7252 Genetic 3138,88 97,69 156

Random 8036,91 96,48 183

length and memory space. It would be very time consuming to find experimentally all the curves shown in Fig. 5, except the generated test length TG. The practical way is in trying to find the curve forMSwith as least as possible number of experiments, and to try to predict the curve on the basis of experimental data, and to approach then step by step to the real optimum by choosing as few as possible additional experiments. It is possible to solve such a task by Turbo Tester via writing a simple script, which has to analyze the results and to perform required steps.

Ctotal (Total cost of BIST)

Fig. 5. Optimization of hybrid BIST 4. Laboratory Work Scenarios

The main aim of developed laboratory works scenarios is to teach and train students to integrate design and test, to give them knowledge on how to create testable designs or designs with self-testing capabilities, and how to obtain test patterns of better quality. The following laboratory works were developed to train the engineering skills in the field of test:

- Test generation

- Design for testability

- Built-in self-test

- Design error diagnosis.

Test generation. The goal is to get acquainted with the problem and CAD tools of creating test patterns for digital circuits. At first, tests forthe given circuit are generated manually. The fault simulation tool evaluates the quality of the manual tests. Then three different test-generating tools (based on deterministic, random and genetic algorithms) are used and compared with each other.

Design for testability. The goal is to show how the management of controllability and observability of test points in the circuit can improve the quality of testing. At first, a testability analysis is carried out for the given circuit by using test generation and fault simulation tools. Then, based on the testability information achieved, the circuit should be redesigned with the goal to get a test with a good quality i.e. with good fault coverage. Tradeoff problems between the redesign cost and test quality are investigated.

Built-in self-test. BIST is the capability of a circuit to test itself. Students concentrate themselves in an off-line BIST consisting of a test pattern generator (TPG), unit under test (UUT) and a response analyzer (RA).

72

R&I, 2003, N 3

TPG and RA usually are based on a LFSR. There are several disadvantages of such a structure: the tests generated usually are long, and they do not guarantee sufficient fault coverage. T o overcome these drawbacks, a Hybrid BIST approach may be used. In this approach, a test engineer should solve the following problems:

- to find the best LSFR configurationfor on-line test generation to achieve the highest fault coverage at the minimum length of pseudo-random test sequence;

- to find the best LFSR for response analysis to guarantee the minimum loss of accuracy in fault detection;

- to find the best level of mixing pseudo-random and stored tests as tradeoff between memory cost and testing time.

The task of the laboratory research for students is to find solutions for these problems. The students are not asked to carry out boring measurements, to simply press buttons for starting a program and getting results which are nothing but a simple confirmation of what they already know from lectures. Instead, they are asked to solve a series of engineering problems. They have a set of tools at their disposal and they have to plan and carry out experiments by themselves to find answers for the given questions.

Design error diagnosis. The goal is to learn how to compose diagnostic tests and to localize faults in a given circuit. Iterative using CAD tools, theoretical reasoning and manual work for generating additional “better” tests, students will get experience in solving extremely demanding engineering challenges.

The laboratory works have received good credits from students ofTallinn Technical University (Estonia), Darmstadt University of Technology (Germany), and Jonk^ing University (Sweden). It is under consideration to utilize TT for teaching Design for Testability in other universities of Eastern and Western Europe.

5. Conclusions

In this paper we have described a diagnostic software package called Turbo Tester, which has been developed in Tallinn Technical University. The package contains a variety oftools related to testing and diagnosis of VLSI circuits. The range of tools includes test generators, logic and fault simulators, a test optimizer, a module for hazard analysis, LFSR emulators for BIST, design verification and design error diagnosis tools.

The described extensive range of compatible diagnostic tools forms a homogeneous research environment viatheir interaction and complementary operation. Such a principle allows for interesting experimental research to be conducted. There are a number ofscientific papers describing research carried out using the Turbo Tester environment. These papers have been

presented at international conferences and published in reviewed journals.

Since the TT package provides a good environment for interesting laboratory work scenarios for students, we have developed such scenarios and we also described them briefly in current paper.

There are Turbo Tester versions available for MS Windows, Linux, and Solaris operating systems. The software can be downloaded from [13] free of charge.

Acknowledgements

This work was supported partly by the Thuringian Ministry of Science, Research and Art (Germany), by the EU Framework V project REASON, and by the Estonian Science Foundation Grant No 5649.

References: 1.BlyzniukM., CibakovaF.T., GramatovaE., Kuzmicz W., LoburM., Pleskacz W., Raik J., UbarR. Hierarchical Defect-Oriented Fault Simulation for Digital Circuits // IEEE European Test Workshop, Cascais, Portugal, Mai 23-26, 2000. P.151-156. 2. Brglez F., Fujiwara H. A neutral netlist of 10 combinatori-al benchmark circuits and a target translator in F ORTRAN // ISCAS, Special Session on ATPG and Fault Simulation, 1985. 3. Brik M., Jervan G., Markus A., Raik J., UbarR. Hierarchical Test Generation for Digital Systems // Mixed Design ofIntegrated Circuits, 1998. 3. 131-136, Kluwer Academic Publishers. 4. Ivask E., Raik J., Ubar R. Comparison of Genetic and Random T echniques for Test Pattern Generation // Proc. ofthe 6th Baltic Electronics Conference, Oct. 79, 1998. Tallinn. P. 163-166. 5. Ivask E., Raik J., Ubar R. Fault Oriented Test Pattern Generation for Sequential Circuits Using Genetic Algorithms // IEEE European Test Workshop, Cascais, Portugal, Mai 23-26, 2000. P. 319-320. 6. Jervan G., Markus A., Paomets P., Raik J., Ubar R. Turbo Tester: A CAD System for Teaching Digital Test,” in “Microelectronics Education”. Kluwer Academic Publishers, 1998. P.287-290. 7. Jervan G., PengZ., Ubar R. Test Cost Minimization for Hybrid BIST // IEEE Int. Symp. on Defect and Fault Tolerance in VLSI Systems. Tokio, October 2528, 2000. P.283-291. 8. Jutman A., Raik J., Ubar R SSBDDs: Advantageous Model and Efficient Algorithms for Digital Circuit Modeling, Simulation & Test // Proc. of5th International Workshop on Boolean Problems (IWSBP’02), Freiberg, Germany, Sept. 1920, 2002. P. 157-166. 9.JutmanA., UbarR. Design Error Diagnosis in Digital Circuits with Stuck-at Fault Model // Journal of Microelectronics Reliability. Pergamon Press, Vol. 40, No 2, 2000. P.307-320. 10.Markus A., Raik J., UbarR.Fast and Efficient Static Compaction ofTest Sequences Using Bipartite Graph Representation // Proc. of the Second Electronic Circuits and Systems Conference ECS’99. Bratislava, Slovakia, Sept. 6-8, 1999. P. 17-20. 11. Ubar R. Dynamic Analysis of Digital Circuits with Multi-Valued Simulation,”Microelectronics Journal, Elsevier Science Ltd., Vol. 29, No. 11, Nov. 1998, P.821-826. 12. Turbo Tester Reference Manual, Version 02.10, Tallinn Technical University, Estonia, October2002. Available at [13]. 13. Turbo Tester home page URL: http://www.pld.ttu.ee/tt. 14. Laboratory training URL: http:// www.pld.ttu.ee/diagnostika/labs.

R&I, 2003, Ns 3

73

i Надоели баннеры? Вы всегда можете отключить рекламу.