Научная статья на тему 'Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com. Январь-март 2004'

Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com. Январь-март 2004 Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
148
51
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Долинский Михаил

National Semiconductor анонсировала новый последовательный интерфейс MPL (Mobile Pixel Link) для портативных устройств. MPL ориентирован на устройства типа камера-фонов и дополняет стандартный интерфейс PWI (PowerWise Interface).

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Тенденции и перспективы развития EDA-индустрии по материалам портала dacafe. Com. Январь-март 2004»

e

Компоненты и технологии, № 4'2004

Тенденции и перспективы развития EDA-индустрии

по материалам портала DACafe.com Январь-март 2004

Михаил Долинский

dolinsky@gsu.unibel.by

Введение

Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по март 2004 года выглядит следующим образом:

1. Борьба за интероперабельность*

2. Требуются и начинают возникать средства отладки мультипроцессорных систем*

3. Требуются и разрабатываются средства отладки однопроцессорных систем — SoC-платформ: процессор + память + программируемая логика*

4. Генераторы моделей процессоров*

5. От C++ к HDL и обратно*

6. IP-компоненты процессоров

6.1. ARM шагает по планете*

6.2. MIPS — с отставанием от ARM, но с опережением всех остальных

6.3. И другие процессорные ядра*

6.4. Сетевые процессоры*

7. В мире ПЛИС

7.1. ПЛИС расширяют сферу применения*

7.2. Развитие средств проектирования ПЛИС и ASIC*

7.3. Направления и примеры применения — устройства на базе ПЛИС

7.3.1. Цифровая обработка сигналов*

7.3.2. Телекоммуникации*

7.3.3. Средства управления движением

7.3.4. Реконфигурация «на лету»

7.3.5. Память с шифрованием данных

7.3.6. CAN-контроллеры

7.3.7. Сбор и обработка данных**

7.4. ASIC конвергируют к ПЛИС*

8. IP-компоненты для ПЛИС и ASIC

8.1. DSP-обработка*

8.2. Телекоммуникации*

8.3. Шифрование*

8.4. Память

8.5. Как распространяются IP-компоненты*

9. Верификационные IP-компоненты

9.1. Язык верификации е, среда верификации Specman Elite, фирма Verisity — разработчик е и Specman Elite, верификационные компоненты на языке e — eVC*

9.2. Другие языки и средства верификации симуляцией*

9.3. Средства формальной верификации*

10. Прототипирование, эмуляция и отладка ПЛИС и ASIC

10.1. Отладка проектов для ПЛИС*

10.2. On-line — прототипирование ASIC

10.3. Персональные средства для прототипирования ASIC

10.4. Отладчики проектов на кристалле

11. Микроконтроллеры и DSP-процессоры

11.1. Разработки Applied Microsystems

11.2. И все остальные

11.3. Сетевые микроконтроллеры

11.4. Мультимедиа-микроконтроллеры*

11.5. Другие новости мира микроконтроллеров*

11.6. Операционные системы для встроенных приложений*

11.7. Микроконтроллеры для беспроводных сетей

11.8. Микроконтроллеры с поддержкой шифрования*

11.9. Процессоры цифровой обработки сигналов*

12. Обучение — ключ к продаже

12.1. Очные семинары и конференции*

12.2. On-line — обучение

12.3. Университетские программы*

12.4. Обучение через партнерские программы с центрами проектирования

12.5. Документированные проекты*

12.6. Комплексная (многовидовая) служба поддержки

13. Другие ключи к продаже

13.1. Передача маркетинга на сторону

13.2. Расширение географии*

13.3. On-line — выставки

13.4. On-line — порталы

13.5. On-line — семинары

13.6. Расширение фронта разработок

13.7. Покупки и слияния**

14. Интернет-технологии на службе EDA-индустрии

15. Специализированные СБИС

15.1. Телекоммуникации*

15.2. Сетевая обработка*

15.3. Цифровое телевидение*

15.4. Емкая и быстрая память для мобильных устройств*

e

Компоненты и технологии, № 4'2004

15.5. Цифровая камера*

15.6. Суперминиатюрные ИС для 'lastminute' модификаций

15.7. Цифровая аудиообработка*

15.8. Самая-самая*

15.9. Чипы управления питанием**

15.10. Беспроводная передача данных**

15.11. Графический процессор**

Символами * отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода.

Символами ** отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде.

Рамки статьи вынуждают существенно сократить имеющийся фактический материал (полная версия материала доступна по адресу http://NewIT.gsu.unibel.by/resources/articles/ dolinsky/embedded systems/russian/dv0403.doc).

1. Борьба за интероперабельность

National Semiconductor (www.national.com) анонсировала новый последовательный интерфейс MPL (Mobile Pixel Link) для портативных устройств. MPL ориентирован на устройства типа камера-фонов и дополняет стандартный интерфейс PWI (PowerWise Interface). MPL-инициатива National Semiconductor уже нашла поддержку у таких фирм, как Philips, Sony-Ericsson и Wavecom.

2. Требуются и начинают возникать средства отладки мультипроцессорных систем

В отчетный период выпущены как минимум две мультипроцессорные системы MW301 от MediaWorks (SoC для камкодеров) и Neuron от Cypress (устройства для автоматизации управления промышленными сетями).

В обоих случаях изготовители чипов предлагают систему с несколькими процессорами, памятью и богатым набором периферийных устройств. Для таких систем принципиально необходимы средства совместной отладки взаимодействующего программного и аппаратного обеспечения. Отметим, что ни в одном из случаев ничего не говорится о созданных средствах отладки. Скорей всего, фирмы-разработчики аппаратного обеспечения, не специализируясь на создании средств отладки, рассчитывают на помощь третьих фирм. Наиболее перспективным представляется подход, обеспечивающий универсальную систему отладки, настраиваемую на требуемую мультипроцессорную систему.

3. Требуются и разрабатываются средства отладки однопроцессорных систем — БоС-платформ: процессор + память + программируемая логика (периферийные устройства)

В отчетном периоде новые SoC-системы разработали Toshiba, Infineon, TTPCom и Texas Instruments. Тем не менее снова практически ничего не говорится о возможности

совместной отладки программного и аппаратного обеспечения для этих SoC.

В то же время в отчетном периоде упомянуты пять систем, позиционирующихся именно как средства отладки подобных программно-аппаратных комплексов: ADVance MS и Seamless от Mentor Graphics, ESL-комплект от CoWare, CHIPit от ProDesign, CoMET 2.0 от VaST Systems Technology.

Несмотря на многочисленность (только в отчетном периоде «засветились» пять) систем совместной отладки программного и аппаратного обеспечения, проблема далека от своего эффективного разрешения. Думается, это связано с тем, что имеющиеся средства чрезвычайно трудоемки в настройке на новую аппаратно-программную систему. Не случайно сейчас настройкой системы отладки на новые модели занимается сама фирма, разрабатывающая систему отладки и, как правило, с помощью фирмы, создавшей процессор (Mentor для MIPS, ProDesign для Aurora, Vast Systems для StarCore).

Ниже приводится эскизное описание проблем, требующих своего разрешения. Разработчики программного обеспечения для встроенных систем не имеют доступа к реальному железу до появления физического прототипа. Это означает задержку значительного количества работы по разработке программного обеспечения, что делает общий процесс разработки более последовательным и более длительным, чем хотелось бы. И это происходит, когда интенсивно растет программная составляющая всех новых проектов. Аналитическая компания VDC предсказывает, что количество разработчиков программного обеспечения для встроенных систем в 2004 году будет доведено до 236 тыс., в то время как количество разработчиков аппаратного обеспечения встроенных систем составит 130,9 тыс. VDC предсказывает также ежегодный 8-процентный рост числа разработчиков программного обеспечения встроенных систем и 4-процентный рост числа разработчиков аппаратного обеспечения.

Огромные проблемы представляет совместная верификация программного и аппаратного обеспечения. По оценкам Collett International Research в 2002 году только 39% не содержали ошибок в первом изготовлении, в то время как 61% проектов имели логические или функциональные ошибки. Более 20% проектов потребовали 3 или более повторных изготовлений чипов.

Один подход к совместной верификации программного и аппаратного обеспечения заключается в использовании систем эмуляции, таких, как Mentor Graphics Vstation (использующий множество FPGA) или Cadence Palladium (базирующийся на пользовательском ASIC-проекте), используемый для аппаратной акселерации или внутрисхемной эмуляции. Такие системы обеспечивают значительную емкость и высокопроизводительную верификацию в реальном времени. Они могут содержать до 100 миллионов вентилей и обеспечивать производительность до 1 МГц, обеспечивая все возможности отладки, доступные при симуляции, и полную

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

видимость проекта. Основной недостаток таких систем — их высокая стоимость, практически неприемлемая для некоторых проектов и маленьких фирм.

Есть несколько фирм, которые предлагают более дешевые средства эмуляции. Одна из таких фирм — Emulation and Verification Engineering (EVE), основанная в 2000 году во Франции. Она разработала и поставляет семейство эмуляторов ZeBu (сокращение от Zero Bug), основанных на Xilinx Virtex-II FPGA и соединенных с IBM PC. Разрабатываемый проект отображается на одну или более плат ZeBu, которые соединяются с отладчиком на PC посредством JTAG-интерфейса. Соединив 8 плат ZeBu, можно эмулировать проект размером до 12 миллионов ASIC-вентилей.

Альтернативой эмуляции является симуляция. Для симуляции аппаратного обеспечения, как правило, используются такие продукты, как Synopsys VCS, Mentor ModelSim или Cadence Incisive. Во всех случаях проект описывается на HDL — VHDL или Verilog. Эти управляемые событиями модели компилируются и исполняются с тестбенчами. Такие системы моделируют серии событий, которые происходят асинхронно. Ускорение может быть достигнуто за счет синхронного моделирования, которое игнорирует временные соотношения и симулирует логику, от-рататывающую внутри каждого цикла. По сравнению с событийным моделированием поцикловое моделирование может ускорить выполнение в 10-50 раз.

Тестбенчи изначально писались на тех же языках VHDL и Verilog. Сегодня существуют специализированные языки для написания тестбенчей, такие, как OpenVera от Synopsis и e от Verisity. Тестбенчи описывают входные воздействия и эталонные реакции. Доступны и коммерческие средства создания тестбенчей.

Что касается программного обеспечения, то оно может быть скомпилировано и выполняться на хост-компьютере, где происходит симуляция. Такая модель не может быть точной, поскольку исполняется на другой целевой системе. Альтернативный подход — использование ISS (Instruction Set Simulator) — симуляторов поведения центрального процессора на уровне команд. ISS обычно отображает все регистры процесора и состояние системы и является полной моделью целевого процессора. ISS выполняет циклы «выборка — декодирование — исполнение» для целевого процессора на хост-процессоре. Механизм, который связывает симуляторы программного и аппаратного обеспечения, называется BFM (Bus Functional Model) или BIM (Bus Interface Model). Модель процессора связывается с проектом на уровне контактов. Специальная служба обеспечивает временные синхронизации. Проблемы таких систем заключаются в том, что событийный симулятор тратит огромное количество времени на симуляцию взаимодействия центрального процессора и оперативной памяти (выборка инструкций, чтение-запись памяти, операции ввода-вывода для периферийных устройств, отображенных на память).

e

Компоненты и технологии, № 4'2004

Mentor предлагает Seamless для совместной HW/SW-верификации и использует Coherent Memory Server для сокращения времени на симуляцию взаимодействия ЦП и ОП.

4. Генераторы моделей процессоров

Target Compiler Technologies NV сообщила, что ее перенастраиваемые средства Chess/ Checkers были использованы фирмой Gennum Corp. при разработке мультипроцессорного ядра Yukon — базы новой открытой мультипроцессорной платформы.

CoWare Inc. аносировала новую функциональность в своем продукте LISATek — теперь разработчики могут моделировать свои процессоры, используя языки программирования высокого уровня и автоматически генерировать ISS (Instruction Set Simulator) и полный комплект необходимого системного программного обеспечения, включая C-компилятор. Кроме того, обеспечена генерация сине-зируемых RTL-описаний этих процессоров.

5. От C++ к HDL и обратно

Catalytic Inc. анонсировала планы создания средств автоматической генерации устройств, начиная от спецификации алгоритмов.

6. IP-компоненты процессоров

6.1. ARM шагает по планете (www.arm.com )

Безусловное доминирование ARM на рынке IP-компонентов процессоров подтверждается из обзора в обзор. В этот раз — следующими фактами:

• Samsung лицензировала у ARM технологию PowerVR MBX — акселератор графических приложений на базе встроенных ARM-устройств.

• Philips анонсировала 9 новых моделей микроконтроллеров cемейства LPC2000 на базе процессоров ARM7.

• Emulation and Verification Engineering (www.eve-team.com) стала партнером ARM. Но ARM и не думает останавливаться

на достигнутом. ARM «идет мимо и дальше»:

• Magma Design Automation (www.magma-da. com) и ARM выпустили методологию реализации процессоров ARM9E.

• Cadence (www.cadence.com) и ARM улучшают качество синтеза RTL Compiler-ом процессоров семейства ARM9.

• Synopsys (www.synopsys.com) и ARM работают над 'SystemVerilog Verification Methodology Manual' (SVMM).

• ARM выпустила контроллер кэша второго уровня ARM L210.

• ARM анонсировала RealView Model Library Access Program для разработчиков EDA-средств.

• ARM приобрела Triscend.

6.3. И другие процессорные ядра Среди других процессорных ядер в отчетном периоде были предъявлены 64-битный процессор SH-5 фирмы SuperH (www.superh.com) и 32-битный 32bLOW фирмы Aurora VLSI (www.auroravlsi.com).

Заметим, что фирма Aurora VLSI была основана в 1998 году. Это еще раз подчеркивает тот факт, что разработка IP-компонентов моделей процессоров — перспективное направление исследований, если, конечно, вы не боитесь конкуренции со стороны ARM.

6.4. Сетевые процессоры

Parama Networks, основанная в марте 2001 года, выпустила первый чип типа «ADM-on-a-Chip». ADM (add-drop multiplexer) обеспечивает cross-connect, line and tributary framers, overhead processing.

Интересно отметить, что во всех обзорах, вместе взятых (за период с 1 января 2001 года по 31 марта 2004 года), о создании IP-компонентов сетевых процессоров упоминается всего в четвертый раз, и во всех четырех случаях авторы разработок — fabless-компании, основанные после 2000 года. Специализированные сетевые процессоры — чрезвычайно перспективное и столь же трудоемкое направление исследований и разработок.

7. В мире ПЛИС

7.1. ПЛИС расширяют сферу применения

В текущем периоде максимальную результативность проявили такие производители ПЛИС, как Altera, Actel, Atmel и Lattice Semiconductor. При этом наиболее явно проявились следующие тенденции: переход на технологии 90 нм с соответствующим повышением плотности, емкости и быстродействия; расширение сфер применения — космические, военные, промышленные, экологичные (без свинца и галогенов); развитие конвергенции с ASIC (HardCopy structured ASIC).

7.2. Развитие средств проектирования ПЛИС и ASIC

Прежде всего, необходимо отметить, что выпуск новых микросхем фирмой Altera дружно поддержан разработчиками средств синтеза и симуляции, в частности Cadence Design Systems, Mentor Graphics Corporation, Synopsys и Synplicity обеспечили поддержку Altera Stratix II в своих продуктах. Эти продукты могут поставляться интегрированно с Quartus II 4.0 фирмы Altera.

Aldec, Altium, Cadence, Mentor Graphics, Synplicity поддержали своими средствами разработки новые CPLD Altera MAX II.

Но и технологии 90 нм сегодня уже кажутся недостаточными фирмам, которые «смотрят в завтра». Samsung Electronics присоединилась к IBM, Chartered и Infineon, разрабатывающим новые технологические процессы 65-45 нм, а Toshiba лицензировала Synopsys PSM Technology для производства своих устройств и микропроцессоров на базе технологии 65 нм. Toshiba планирует начать промышленное производство чипов на базе технологии 65 нм уже в 2005 году.

Другое перспективное направление средств автоматизации — интеграция потоков проектирования для ASIC и FPGA. Altera и Synopsys уже партнерствуют в этом деле, в частности, Synopsys Design Compiler оптимизируется под FPGA фирмы Altera. А сама Synopsys выпустила Design Compiler FPGA

(DC FPGA) — новое средство синтеза FPGA, предназначенное для тех, кто прототипирует ASIC на FPGA.

Еще один лидер средств синтеза для FPGA, ASIC и Structured ASIC — фирма Synplicity (www.synplicity.com). В январе 2004 анонсирован Amplify RapidChip. Отметим, что новые синтезаторы от Synplicity будут базироваться на новой запатентованной технологии SNAP (Sensitive Net Analysis and Prevention), которая будет внедрена во все средства синтеза ASIC. Кроме того, теперь синтезаторы от Synplicity (Amplify 3.5 FPGA и Synplify Pro 7.5 FPGA) поддерживают новое семейство FPGA Stratix II фирмы Altera.

Еще один успешный участник рынка средств синтеза — фирма Magma (www. magma-da.com). В отчетном периоде объявлено об успешном практическом применении синтезатора Blast Create на IBM и Toshiba, а также о том, что Magma Blast Fusion выполнила синтез 500-го реального проекта.

Практически «одной строкой» в новостях упомянуты:

• Actel Libero v5.2 IDE, улучшивший поддержку FPGA Actel ProASIC Plus.

• Cadence Encounter RTL Compiler, который теперь поддерживает VHDL (в дополнение к Verilog).

• HSIM от Nassda (www.nassda.com), использованный Aeluros (www.aeluros.com) для симуляции чипов на физическом уровне.

Отдельно необходимо упомянуть о факте, который, возможно, знаменует начало новой тенденции: LSI Logic, Cadence, Mentor Graphics и Synopsys выпустили свои продукты для Red Hat Enterprise Linux (www.redhat.com). Сегодня на IBM-совместимых персональных компьютерах доминирует операционная система Windows, но часто пользователи таких ПК недовольны ее надежностью, а многие и высокой ценой. Поэтому возникла альтернатива — более дешевая (бесплатная) версия операционной системы Unix (Linux). Ведущие разработчики средств автоматизации откликнулись на эту альтернативу, давая возможность пользователям выбирать Linux в качестве клиентской операционной системы.

При мультимиллионных FPGA требуется командная работа и иерархическое проектирование. Xilinx выпустила 'Board-on-Chip' — новый пакет средств для разработки на системном уровне с помощью FPGA. Разработчикам представляются готовые IP-компоненты процессора, периферийных устройств, встроенные компиляторы и отладчики, средства синтеза и виртуальные инструменты. При этом для синтеза разработанных проектов Xilinx (как и Altera и др.) предлагает Synplicity и свои back-end средства. Таким образом, сегодня нет и не может быть альтернативы средствам размещения и трассировки (place-and-route) от производителей, поскольку они сильно привязаны к архитектурам семейств.

7.3. Направления и примеры применения — устройства на базе ПЛИС

Сегодня FPGA приблизились к ASIC по многим важным параметрам (емкость,

e

Компоненты и технологии, № 4'2004

быстродействие), имея преимущество в гибкости, дешевизне и простоте проектирования и перепроектирования. Это приводит к отвоевыванию все новых и новых областей применения у ASIC.

DSP-обработка:

• FPGA Altera Stratix (EP1S60F1020C5 и EP1S80F1020C3) обеспечивают цифровую обработку сигналов на частоте 333 МГц. Телекоммуникации:

• Motorola интегрировала дешевые Altera FPGA Cyclone в новый спутниковый ресивер DSR300.

• Xilinx продает свич, базирующийся на архитектуре PCI Express. Свич реализован на Virtex-II Pro FPGA.

Сбор и обработка данных:

• Pentek (www.pentek.com) выпустила Model 6821 — VME-плату, интегрирующую 12-битный 210 МГц АЦП и две FPGA Xilinx Virtex-II Pro. Плата предназначена для сбора и обработки данных в реальном времени.

7.4. ASIC конвергируют к ПЛИС

Интерес к разработкам на FPGA привел к созданию нового электронного журнала для специалистов — FPGA Journal (www.fpgajournal.com). В его материалах приводится немало интересных фактов, в том числе и излагаемых ниже.

По данным Джеффа Джассела (Celoxica), количество проектов FPGA, начатых в 2003 году (2У00) в 3 раза превышает количество проектов ASIC, начатых в 2002 году (900), кроме того, количество FPGA-проектов, начатых в 2002 году равно 1800, что означает 50-процентный рост FPGA-проектов в 2003 году по сравнению с 2002. В то же время количество ASIC-проектов уменьшилось в 3 раз в 2003 году по сравнению с 2002. Наконец, всего около 8З000 проектов в мире выполнено на FPGA и только 3000 — на ASIC.

Такое положение вещей прежде всего связано с тем, что трудоемкость, сроки и стоимость разработки проектов на ASIC существенно выше, чем на FPGA, в частности, NRE (невозвращаемые расходы) по ASIC — от 2 до 3 млн долларов сейчас и до 20 млн в ближайшем будущем.

По мнению Дино Каприози (Hier Design) сегодня «точка пересечения» кривых ASIC и FPGA — З0000 штук. То есть при потребности до З0000 штук выгоднее делать проект на FPGA, более З0000 — на ASIC. И потому наиболее выгодной сегодня представляется следующая тактика: сначала «прощупать рынок» с помощью FPGA, а в случае удачи снижать цену с помощью ASIC. Переходя от количественных показателей к качественным соображениям, замечается, что хотя рынок FPGA мал, темпы его роста велики. В свою очередь, темпы роста рынка ASIC замедляются, и, наконец, рынок ASSP (прежде всего в области обработки сигналов) огромен, но его рост очень маленький.

Отмечено также, что 2У% групп разработчиков FPGA получают 9У% финансирования. Остальные У3% разработчиков устройств на FPGA в основном представляют «любителей», студентов, выполняющих проекты для

получения степени и маленькие старт-ап компании, занимающиеся прототипированием.

Важный вопрос — как сопоставлять емкости ASIC и FPGA? Дино Каприози предлагает так: 250 тыс. ASIC-вентилей — это примерно от 1 до 2 миллионов системных вентилей FPGA.

Цена одного проекта в технологии 90 нм превышает $30 млн и мало реальных проектов потянут такую сумму. Поэтому большинство проектов выполняется в старых технологиях, которые достаточны для большинства приложений.

В свою очередь, производители ASIC вынуждены отвечать на вызовы времени стремлением приблизиться (конвергировать) к FPGA по своим «болевым» параметрам — длительность и дороговизна цикла проектирования. Это обеспечивается предварительной реализацией в значительной части ASIC-чипа определенных функций, важных для некоторой области приложений, и возможностью адаптировать проект ASIC под конкретную задачу с помощью оставшейся «не-задействованной» части чипа.

В частности, создана The Structured ASIC Association (SAA). В нее вошли производители Structured ASIC и EDA-компании, включая Chip Express, Lightspeed, Synplicity, Tera Systems. Цель — укрепить этот сегмент рынка и обучить индустрию новой технологии. Structured ASIC позволяют быстро, как и в FPGA, создавать устройства и в то же время иметь цену на 1 экземпляр продукции не такую высокую, как в FPGA.

В Structured ASIC имеются общие для всех проектов логические ячейки, блоки памяти ввода-вывода и обеспечивается несколько слоев для прикладного специфического проектирования.

Типичный проект может быть изготовлен за 3 недели, стоить в 4 раза меньше, чем ASIC, и обеспечивать цену единицы продукции в 10 раз меньше, чем при использовании FPGA.

LSI Logic (www.lsilogic.com) пополнила семейство Xtreme платформы ASIC RapidChip двумя микросхемами RC11XT531 и RC11XT432. Первая ориентирована на телекоммуникационные приложения, а вторая — на хранилища данных.

8. IP-компоненты для ПЛИС и ASIC

Важное средство ускорения создания проектов — унифицированные создание, верификация и многократное повторное использование IP-компонентов.

8.1. DSP-обработка

Altera выпустила IP-компонент FFT (Fast Fourier Transform) для разрабатываемых на FPGA сопроцессоров, выполняющих обработку образов.

8.2. Телекоммуникации

NEC Electronics (www.necel.com) и Mysticom (www.mysticom.com) подписали лицензионное соглашение по Ethernet-компоненту. NEC сможет использовать MystiPHY 110 — DSP 10/100 Ethernet-ядро, разработанное Mysticom.

LSI Logic демонстрирует полнофункциональное ядро трансивера с производительностью 6,4 Гбит/с.

TriCN (www.tricn.com) выпускает библиотеку компонентов ввода-вывода, оптимизированную под технологию 90 нм.

Kawasaki Microelectronics (www.k-micro.com) совместно с CEVA (www.ceva-dsp.com) выпускают IP-компонент 1.5Gbps Serial ATA для ASIC 0,13 мкм.

Actel добавила IP-компоненты LIN и CAN для применения своих FPGA в автомобилестроении.

DCM Technologies (www.dcmtech.com) продемонстрировала IP-компонент PCI Express для ASIC/FPGA на Intel Developer Forum.

8.3. Шифрование

В отчетном периоде на рынке IP-компонентов для шифрования был бенефис у фирмы SafeNet Technology Inc. (www.safenet-inc.com): Allied Telesyn выбрала IP-компонент SafeXcel-1141 VPN фирмы SafeNet для своего AR450S Security Router, а Texas Instruments лицензировала SafeXcel IP у SafeNet.

8.5. Как распространяются IP-компоненты

Во-первых, IP-компонент можно лицензировать у непосредственного разработчика:

• DongbuAnam выбрала Virage Logic;

• MobilEye выбрала Virage Logic IP;

• Altium и Bosch расширили лицензионное соглашение по CAN.

Во-вторых, IP-компоненты могут распространяться пакетно, то есть целой библиотекой:

• Magma анонсировала набор Magma-Ready IP;

• Synopsys распространяет IP-компоненты от TSMC через свою DesignWare Library;

• Matrix ASIC — стратегическая инициатива фирмы Kawasaki, поддержанная библиотеками от Virage Logic; эта стратегия позволяет смешивать логические компоненты из различных библиотек (с различными размерами ячеек и различными уровнями напряжения питания);

• Virage Logic присоединяется к Chartered и IBM в создании библиотеки IP-компонентов для 90-нанометрового производства.

В-третьих, IP-компонент можно разработать совместно:

• Synopsys и Jungo разрабатывают полное USB OTG с IP-компонентом и программным обеспечением.

В-четвертых, можно «купить» себе фирму, занимающуюся созданием IP-компонентов:

• Synopsys купила Monolithic System Technology и Accelerant Networks. Обе занимались созданием IP-компонентов. В-пятых, фирма-разработчик IP-компонентов может поручить дистрибуцию своих продуктов другой фирме, специализирующейся на деятельности такого вида:

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

• Astro Semiconductor партнерствует с Spinnaker Systems — ведущим японским дистрибьютором IP-компонентов и EDA-средств. Можно с уверенностью констатировать,

что рынок разработки и распространения IP-компонентов чрезвычайно динамичен,

e

Компоненты и технологии, № 4'2004

а многие его участники — успешные в коммерческом плане предприятия. В частности, Fabless Semiconductor Association (www.fsa.org) отмечает рост доходов fabless-компаний в 2003 году в Тайване, Европе, Японии, Китае и Канаде.

В то же время FSA видит и значительные препятствия на пути эффективного распро-страния IP-компонентов, поэтому выдвигает инициативу разработки метрик качества IP-компонентов, которую уже поддержали около 60 компаний: поставщики IP-компонентов, fabless-компании и производители устройств, изготовители чипов и др.

9. Верификационные IP-компоненты

9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity — разработчик e и Specman Elite, верификационные компоненты на языке e — eVC

Функциональная верификация — проблема номер 1 в цикле разработки. Она потребляет 70% ресурсов проекта. Незавершенная верификация — источник 61% повторного изготовления чипов (respin), которые обычно стоят миллионы долларов и требуют нескольких человеко-месяцев при исполнении проектов по технологии 90 нм. Фирма Verisity (www.verisity.com) продолжает активно проявлять себя на рынке средств автоматизации функциональной верификации.

В частности, eInfochips (www.einfochips.com) расширяет использование Verisity VPA (Verification Process Automation).

9.2. Другие языки и средства верификации симуляцией

В то же время сегодня Verisity далеко не так одинока на данном сегменте рынка средств автоматизации, как это было несколько лет назад. Среди достойных конкурентов можно отметить продукты Cadence, Synopsis, Mentor, Novas, Altium, 0-In и др.

Компания eInfochips (www.einfochips.com) выбрала Cadence Incisive в качестве верификационной платформы.

Cadence и 0-In (www.0-in.com) сотрудничают в разработке средств верификации на базе assertions.

Synopsys выпустила Vera 6.2 — средства автоматического создания тестов, которые обеспечивают 10-кратное повышение производительности выполнения функции 'con-straint-solver'.

Motorola выбрала встроенную систему де-терменированных тестов TestKompress и средства физической верификации Calibre фирмы Mentor Graphics.

Novas (www.novas.com) выпускает nBench для унифицированного проектирования, тестирования и отладки устройств. Novas также анонсировала VPA (Verification Process Automation), обеспечивая пользователям возможность отлаживать одновременно тестбенчи, assertions и Verilog/VHDL-проекты в единой среде Verdi/Debussy.

Altium (www.altium.com) выпускает Nexar и CircuitStudio — новые версии продуктов Protel и CAMtastic.

0-In выпустила Archer Verification, обеспечивающую статическую и динамическую формальную верификацию.

Matrox (www.matrox.com) использовала средства верификации от nSys (www.nsysinc. com) при разработке PCI Express.

Accent выбрала Time Architect фирмы Giga Scale IC (www.gigaic.com).

Legend (www.LegendDesign.com) и Circuit Semantics (www.circuitsemantics.com) представляют на DATE 2004 средства анализа временных соотношений для SoC.

Cascade Semiconductor (www.cascadeip.com) выпустила IP-компонент, позволяющий тестировать на соответствие протоколу PCI Express.

Summit Design (www.sd.com) выбрана EDN как финалист «2003 Innovation of the Year Award Competition». Summit Design поставляет System Architect для ESL-проектирования.

Prosyd — новый европейский проект, анонсированный на DATE 2004. Цель Prosyd — поддержка инструментальными средствами языка верификации PSL.

Интересная дискуссия завязалась в одной из секций на DATE 2004 — «Есть ли какая-то потребность в SystemC, если сейчас SystemVerilog стал ведущим языком проектирования?» Среди ответов на поставленный вопрос были и такие: «Большинство платформ проектирования поддерживают практически любой из языков, которым захочет воспользоваться разработчик в качестве начального описания своей системы. Верификация проектов занимает до 70% цикла проектирования, и сокращение этого времени существенно удешевит весь проект. Потому в этой борьбе за сокращение стоимости и сроков разработки все средства хороши — и SystemC, и SystemVerilog и др.».

VSIA (www.vsi.org) выпустила спецификации на функциональную верификацию. Этот документ («Specification for VC/SoC Functional Verification») определяет множество общих подходов к верификации, а также список правил для выполнения качественной верификации.

Новое перспективное направление исследований открыла ChipVision Design Systems AG. Основной ее продукт — ORINOCO — средства оценки потребления энергии на системном уровне. Он анализирует описание функциональности проекта на C или SystemC и производит оценку потребления энергии.

9.3. Средства формальной верификации

Когда чип работает неправильно, как вы об этом узнаете? Это не новая проблема, но она становится все более актуальной по мере перехода к технологиям 90 нм и меньше, когда система на чипе может содержать 50 и более миллионов транзисторов. Проблема усугубляется сокращением срока службы устройств — от 10-15 лет до 5 и менее.

Решение проблем — верификация. Сегодня в мультимиллионновентильных проектах на одного разработчика приходится два

верификатора. В IBM постоянно производятся исследования в этой области в нескольких центрах, в частности в IBM Haifa Research Lab и IBM Engineering and Technology Services, Division in Bangalore.

Toshiba Japan выбирает Atrenta SpyGlass (www.atrenta.com). Цель — обнаруживать критические ошибки в RTL-описаниях проектов, используя технологии предсказательного анализа.

10. Прототипирование, эмуляция и отладка ПЛИС и ASIC

10.1. Отладка проектов для ПЛИС

Несмотря на успехи симуляции и формальной верификации, определенный и немалый процент ошибок проектирования можно обнаружить и устранить только на этапе прототипирования. Такое положение вещей служит эффективным стимулом появления все новых и новых разработок, поддерживающих отладку проектов в ПЛИС.

Celoxica (www.celoxica.com) выпускает RC300 и RC2000Pro — две высокопроизводительные платы для отладки проектов на базе FPGA.

11. Микроконтроллеры и DSP-процессоры

Уже много лет микроконтроллеры являются первыми и надежными помощниками разработчиков в решении множества прикладных задач. Когда возникает потребность в разработке нового устройства, инженер прежде всего пытается ответить на вопрос: «А можно ли выполнить это устройство на базе какого-то из микроконтроллеров?» Достоинства такого подхода очевидны — минимальные NRE, сокращение цикла проектирования за счет уменьшения или даже исключения этапов разработки специального аппаратного обеспечения и совместной отладки программного и аппаратного обеспечения, большая гибкость, возможность развития функциональных возможностей в процессе функционирования, приемлемая цена конечного продукта и т. д.

Отказ от использования микроконтроллеров, как правило, связан с невозможностью выполнить поставленную задачу в отведенное (реальное) время. Очевидно, что большой спрос на микроконтроллеры и стремительное развитие микроэлектроники дает возможность снабжать микроконтроллеры все новыми и новыми функциями, необходимыми для выполнения реальных проектов. Сегодня к таким функциям можно отнести поддержку мультимедийных приложений, шифрования-дешифрования, сетевых взаимодействий. Кроме того, возможности (прежде всего по производительности и объему встроенной на кристалле памяти) сегодняшних микроконтроллеров таковы, что актуальным становится вопрос об использовании операционных систем на микроконтроллерах и выборе или разработке оптимальной операционной системы.

e

Компоненты и технологии, № 4'2004

11.4. Мультимедиа-микроконтроллеры

Atmel выпустил AT76C113P — первую микросхему нового семейства процессоров для мультимедийных приложений в смартфонах.

11.5. Другие новости мира микроконтроллеров

Agere Systems в сотрудничестве с Lexmark разработала первый одночипный контроллер для дешевых факсов.

Texas Instruments добавляет до 60 кбайт внутрикристальной памяти своим микроконтроллерам MSP430.

11.6. Операционные системы для встроенных приложений

Accelerated Technology (www.accelerat-edtechnology.com) добавила API POSIX в Nucleus RTOS. POSIX (Portable Operating System Interface for UNIX) теперь поддерживается в Nucleus PLUS наряду с micro-ITRON и OSEK. POSIX принят как стандарт, и этот шаг позволит в будущем легко переходить между ОС, поддерживающими такой стандарт.

Accelerated Technology становится членом Renesas Technology SH-Mobile Consortium.

Новый Intel IQ80315 Evaluation Kit будет продаваться вместе с Nucleus RTOS и codellab фирмы Accelerated Technology.

Infineon анонсировала 32-битный микроконтроллер TC1130, на котором работает Linux.

EDA Consortium (www.edac.org) провел на DATE 2004 дискуссию «Какой будет операционная система?».

11.8. Микроконтроллеры с поддержкой шифрования

Atmel анонсировала процессор AT97SC3202 с 2048-битным RSA-акселератором, аппаратным SHA-1 и генератором случайных чисел, соответствующий стандарту Trusted Computing Group 1.2.

11.9. Процессоры цифровой обработки сигналов

Texas Instruments продает первый DSP, работающий на частоте 1 ГГц. Переход на технологию 90 нм привел к повышению производительности и сокращению вдвое цены на существующие 720-мегагерцевые DSP.

Texas Instruments выпустила новый DSP TMS320C6713 с частотой 300 МГц и производительностью 1800 MFlops.

Texas Instruments планирует начать переход на технологию 65 нм в первом квартале 2005 года.

Motorola выпускает MPC7447A с частотой 1,4 ГГц. Этот процессор может выполнять четыре инструкции за цикл в 11 независимых исполнительных устройствах.

Agilent Technologies успешно разработала новый DSP под технологию 90 нм. Этот DSP содержит более двух миллионов вентилей и работает на частоте 400 МГц.

12. Обучение — ключ к продаже

Очевидно, что продукция производится для того, чтобы ее продавать. Однако сегодня для того чтобы продать продукт, мало сделать его хорошим по своим производственным характеристикам, необходимо донести

информацию о нем пользователям и научить им пользоваться. Для этих целей и привлекаются самые разнообразные способы, в том числе акцентированные в текущем периоде: очные семинары и конференции, университетские программы, документированные проекты, расширение географии.

12.1. Очные семинары и конференции

Magma Users Group расширилась и переименовалась в MUSIC (Magma Users Summit on Integrated Circuits). Конференция MUSIC (www.magma-da.com/music) будет проходить ежегодно в США, Индии и Великобритании.

DesignCon East 2004 (www.designcon.com/ east) набирает обороты. Основная цель DesignCon — предоставление высококачественных образовательных возможностей профессионалам, ученым и студентам.

Altium (www.altium.com) проводит семинары по разработке систем на FPGA на electronicaUSA/Embedded Systems Conference 2004.

12.3. Университетские программы

Synopsys (www.synopsys.com) выделяет $75000 на привлечение школьников к научной работе в Силиконовой Долине.

12.5. Документированные проекты

Очередные документированные проекты выпустили Texas Instruments, TTPCom, Altera и Philips.

TI (www.ti.com) пополняет портфель документированных проектов проектом «EDGE Smartphone Chipset» (Чипсет TCS3500).

TTPCom анонсировала документированный проект однопроцессорного сотового модема, созданного на базе процессора StarCore.

Altera и Innocor (www.innocor.com) совместно выпускают документированный проект устройства, реализующего протокол SerialLite (www.seriallite.org).

Philips выпускает документированный проект DVD-рекордера на базе платформы Nexperia.

13.2. Расширение географии

Infineon открывает новый центр проектирования в Китае в технопарке Xi'an.

Infineon увеличивает на 120 рабочих мест свой Memory Development Center в Дрездене.

SynTest расширяет свое присутствие в Китае, открыв новый центр R&D в Шанхае и назначив Hyperform Technologies Co., Ltd. своим дистрибьютором в Китае.

Mysticom Semiconductor (www.mysticom. com) расширяет свое присутствие в Китае с помощью дистрибьютора средств коммуникации Asiacom Technology.

Mentor Graphics Mentor Graphics подписала меморандум о взаимопонимании с министерством образования Китая. В соответствии с ним Mentor Graphics обеспечит девять лучших китайских университетов своими средствами автоматизации проектирования электронных систем и обеспечит обучение преподавателей и студентов.

Synopsys открывает в Шанхае научно-исследовательский центр.

Cadence спонсирует IT-SoC Academy — новую академию проектирования в Корее.

Cadence и МИЭТ (Московский институт электронных технологий) анонсируют первый выпуск специалистов.

Atrenta (www.atrenta.com) выбрала

Transfer Nederland в качестве своего дистрибьютора в странах Бенилюкса.

Итак, наиболее привлекательным направлением расширения географии сегодня является Китай, куда «устремили свои взоры» такие известные фирмы как Mentor, Synopsys, Infenion и менее известные SynTest и Mysticom Semiconductor.

Cadence расширяет географию в Корею

и, что не может не радовать, в Россию. А Atrenta нашла для себя привлекательными страны Бенилюкса.

13.7. Покупки и слияния**

Неожиданно активно в отчетном периоде стали использоваться такие методы, как покупка и слияние. Как правило, оба участника сделки выражают свое удовлетворение свершившимся событием.

Synopsys приобрела Analog Design Automation. Кроме того, Synopsys купила Monolithic System Technology и Accelerant Networks (обе занимались созданием IP-компонентов). А еще Synopsys купила iRoC Memory BIST Division.

Cadence купила Q Design Automation Inc., которая разрабатывала инновационные решения в разработке топологий микросхем.

Infineon приобрела тайваньскую fabless-компанию ADMtek.

Agere Systems приобрела TeraBlaze — ведущего разработчика гигабитных Ethernet-свичей.

Applied Micro Circuits Corporation (www.amcc.com) купила 3ware Inc., разрабатывавшую устройства памяти с интерфейсом Serial ATA.

ARM приобрела Triscend.

Verisity завершила приобретение Axis.

Сливаются компании STATS (www.stts.com) и ChipPAC (www.chippac.com). Обе занимаются обеспечением сервисов сборки и тестирования для fabless-компаний, производителей устройств и изготовителей чипов.

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

15. Специализированные СБИС

Итак, если микроконтроллеры не справляются с задачей, а FPGA не подходят по причине более высокой стоимости конечного продукта, нежели это определено в результате маркетингового исследования, в бой вступает «тяжелая артиллерия» — специализированные СБИС (ASIC). Сроки разработки увеличиваются, стоимость проектирования, верификации и валидации — тоже. Однако все эти недостатки компенсируются самой низкой ценой конечного продукта, разумеется, в случае массового производства. Ведущие направления применения специализированных СБИС — проводные и беспроводные телекоммуникации, сетевые процессоры, цифровая видео- и аудиообработка.

15.1. Телекоммуникации

National Semiconductor (www.national.com) выпускает SCAN50C400 — SerDes с производительностью 40 Гбит/с.

e

Компоненты и технологии, № 4'2004

Zarlink (www.zarlink.com) выпускает новый свич ZL 50073.

Tundra Semiconductor (www.tundra.com) демонстрирует технологию VME следующего поколения в Tsi148 (шинный мост VME-to-PCI/X).

LSI Logic выпускает LSISAS1064 — первый последовательно соединенный SCSI-контроллер с поддержкой протокола Serial ATA.

LSI Logic выпускает новый контроллер оптических каналов, обеспечивающий защиту данных.

Alliance Semiconductor (www.alsc.com) выпустила высокопроизводительный мост HyperTransport-to-PCI-X (AS90L10204).

Heavy Reading (www.heavyreading.com) прогнозирует перспективы рынка коммуникационных чипов. Главные прогнозы таковы:

• высокая соревновательность рынка и отсутствие явных лидеров (хотя Motorola и PMC-Sierra все-таки выделены);

• Intel — лучший провайдер сетевых процессоров;

• начинающие компании имеют хорошие возможности.

15.2. Сетевая обработка

eInfochips (www.einfochips.com) анонсирует первый ATM SAR на базе DSP TMS320C64x для беспроводных приложений и разрабатывает высокопроизводительное программное обеспечение для VToA (Voice Telephony over ATM).

Для сетевых процессоров APP500 фирмы Agere две компании поставляют быстродействующую и недорогую память: Samsung — Network DRAM, Toshiba — Network FCRAM.

IMC Semiconductor (www.imcsemi.com) выпускает свичи для потоков PCI Express.

Zarlink (www.zarlink.com) выпускает TDM-свич ZL50021.

Новый сетевой процессор Au1550 выпускает фирма AMD.

Разработчик сетевых чипов — Procket Networks выбирает Cadence Encounter RTL Compiler для синтеза своих проектов.

15.3. Цифровое телевидение

LSI Logic выпустила одночипный процессор DMN-8652 второго поколения для HDD/DVD-рекордеров.

Philips анонсирует TDA15500 — одночип-ное решение для LCD TV.

Conexant (www.conexant.com) выпустила чип обработки видео с поддержкой PCI Express.

WWComs (www.wwcoms.com) выпустила BC-264 — новый видеокодек по стандарту H.264.

15.4. Емкая и быстрая память для мобильных устройств

Infineon выпускает флэш-память 512 Мбит по технологии 170 нм. Планируется переход на технологию 110 нм и выпуск чипов до 2 Гбит.

Toshiba анонсирует новое семейство высокоскоростных устройств флеш-памяти с максимальной скоростью записи до 10 Мбайт/с и емкостью 128 и 256 Мбайт.

Alliance Semiconductor выпускает новое семейство 36-мегабитной синхронной SRAM, выполненной по технологии 0,13 мкм, с частотой 250 МГц и временем доступа менее 3 нс.

15.5. Цифровая камера

Micron Technology (www.micron.com) анонсирует две мобильных цифровых камеры MT9V011 и MT9V111 размером 1/4 дюйма.

15.7. Цифровая аудиообработка

Oxford Semiconductor (www.oxsemi.com)

анонсирует OXFW970 — аудиочип для звуковых систем высокого качества.

TI выпускает высокопроизводительный PWM-процессор для интеграции его в 48-битный цифровой аудиопроцессор TAS5508.

Conexant выпустила модем CX11254 с поддержкой Intel High Definition Audio Bus (ранее называлась Azalia).

15.8. Самая-самая

Rambus демонстрирует на Intel Developer Forum самую быструю память XDR DRAM емкостью 512 Мбит, работающую на частоте 3,2 ГГц.

15.9. Чипы управления питанием

Atmel выпускает AT73C203 — новый продукт управления питанием для беспроводных платформ 3 поколения.

Atmel выпускает AT73C212 — устройство управления потреблением энергии для модулей камер, звукогенераторов, мультимедийных сопроцессоров, внешней памяти и USB.

Dialog Semiconductor (www.Dialog-

Semiconductor.com) совместно с Intel выпустила Arava — новый контроллер потребления энергии для сотовых платформ WCDMA.

15.10. Беспроводная передача данных**

Agere Systems (шшш.ауБрЕ.%оц) выпустила

WaveLAN — чипсет для высокоскоростной беспроводной передачи по стандарту Wi-Fi и анонсировала производительность 150 Мбит/с для продуктов Wi-Fi.

Conexant (www.conexant.com) выпустила спутниковый ресивер CX24114.

Duolog (www.duolog.com) выпустила Embedded Wireless LAN 802.11g как часть своей WLAN Platform.

AMI Semiconductor выпустила одночип-ный беспроводной трансивер нового поколения — AMIS-52100.

Cypress продает радиосистему на кристалле для беспроводной передачи информации на расстояние до 50 метров по стандарту WirelessUSB LR на частоте 2,4 ГГц. Скорость передачи данных — до 62,5 кбит/с со средней задержкой менее 10 мс.

Cypress анонсирует запатентованную технологию, позволяющую избежать интерференции сигналов WirelessUSB.

ANADIGICS (www.anadigics.com) выпускает HELP (High-Efficiency-at-Low-Power) — новое семейство модулей CDMA.

QUALCOMM (www.qualcomm.com) и TSMC (www.tsmc.com) сотрудничают в разработке технологии 90 нм с низким потреблением энергии для беспроводных устройств.

Mysticom Semiconductor (www.mysticom.com) и Siemon (www.siemon.com) анонсировали совместимость своих устройств передачи-приема информации 10 Gigabit Ethernet, увеличив расстояние с 15 до 25 метров.

15.11. Графический процессор**

NVIDIA (www.nvidia.com) выпускает

GeForce PCX — семейство GPU (Graphics Processing Units) с поддержкой PCI Express.

NVIDIA Quadro FX Go1000 устанавливает новый стандарт для графики мобильных рабочих станций, показанный на тестах SPECviewperf 7.1.1, 3dsmax-02, ProE-02, Ugs-03 (www.spec.org).

NVIDIA анонсирует AR10 — первое в мире программируемое 3D-ядро для мобильных телефонов с полной поддержкой OpenGL ES и Direct3Dm API, которое обеспечивает 10-кратное сокращение потребления энергии по сравнению с программной реализацией тех же функций.

Заключение

Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала www.dacafe.com в период с января 2001 по март 2004 года.

Полный текст хронологических DACafe-новостей с января 2001 года можно найти на сайте NewIT.gsu.unibel.by. Цель данных материалов — помочь участникам EDA-индустрии (создателям средств автоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонентов и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA-индустрии.

И в завершение приведем еще один интересный факт.

The European Design and Automation Association объявила, что награду EDAA Lifetime Achievement Award получил Уго де Ман, профессор Catholic University of Leuven (Бельгия). Он также работает в IMEC (Interuniversitair Micro-Electronica Centrum, расположенном в том же городе) в должности вице-президента. Награда вручена 17 февраля на DATE 2004.

Среди научных интересов лауреата — моделирование полупроводников, проектирование аналоговых, смешанных и цифровых симуляторов, исследования по спецификации, верификации и синтезу телекоммуникационных проектов, асинхронных схем и новых методов оптимизации на системном уровне. На его счету публикации 158 статей в международных журналах, 438 статей в материалах международных конференций. Он является руководителем 37 докторских диссертаций, 8 раз получал награды за лучшую статью и один раз — за лучшую схему. По его инициативе и на основе его исследований были основаны такие EDA-компании, как CoWare, Target Compiler Technologies, Adelante Technologies (сейчас ARM Belgium), PowerEscape, а также такие сервисные службы, как Ansem, Easics (сейчас Transwitch), и фирмы-разработчики устройств Sirius (сейчас Agilent Belgium), Acunia, Septentrio.

Это еще раз подчеркивает предоставляемые EDA-индустрией широкие возможности конвертирования научных знаний в коммерческий успех. По материалам уже упоминавшегося FPGA Journal в Силиконовой Долине одно пустое здание на два занятых ... Может оно ждет вас?

i Надоели баннеры? Вы всегда можете отключить рекламу.