Научная статья на тему 'Способ повышения спектральной эффективности радиосигналов с амплитудно-фазовой модуляцией'

Способ повышения спектральной эффективности радиосигналов с амплитудно-фазовой модуляцией Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
176
42
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
DIGITAL RADIO COMMUNICATION / SIGNALS WITH HIGH SPECTRAL EFFICIENCY / LINEARIZATION OF POWER AMPLIFIERS / PHASE DETECTOR / AMPLITUDE DETECTOR / PHASE MODULATOR / ЦИФРОВАЯ РАДИОСВЯЗЬ / СИГНАЛЫ С ВЫСОКОЙ СПЕКТРАЛЬНОЙ ЭФФЕКТИВНОСТЬЮ / ЛИНЕАРИЗАЦИЯ УСИЛИТЕЛЕЙ МОЩНОСТИ / ФАЗОВЫЙ ДЕТЕКТОР / АМПЛИТУДНЫЙ ДЕТЕКТОР / ФАЗОВЫЙ МОДУЛЯТОР

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Шерстюков Сергей Анатольевич, Печников Сергей Сергеевич

Рассмотрен способ увеличения спектральной эффективности радиосигналов с амплитудно-фазовой модуляцией на базе векторного сложения фазомодулированных сигналов. Проведено моделирование и сравнение результатов усиления радиосигналов с амплитудно-фазовой модуляцией в схемах с применением способа линеаризации и без него

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по электротехнике, электронной технике, информационным технологиям , автор научной работы — Шерстюков Сергей Анатольевич, Печников Сергей Сергеевич

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

METHOD FOR INCREASING THE SPECTRAL EFFICIENCY OF RADIO SIGNALS WITH AMPLITUDE-PHASE MODULATION

A method for increasing the spectral efficiency of radio signals with amplitude phase modulation based on the vector addition of phase modulated signals is considered. A simulation and comparison of the results of amplification of radio signals with amplitude phase modulation in circuits using the linearization method and without it has been carried out

Текст научной работы на тему «Способ повышения спектральной эффективности радиосигналов с амплитудно-фазовой модуляцией»

С. А. Шерстюков, C. C. Печников

доктор технических наук, доцент

СПОСОБ ПОВЫШЕНИЯ СПЕКТРАЛЬНОЙ ЭФФЕКТИВНОСТИ РАДИОСИГНАЛОВ С АМПЛИТУДНО-ФАЗОВОЙ МОДУЛЯЦИЕЙ

METHOD FOR INCREASING THE SPECTRAL EFFICIENCY OF RADIO SIGNALS WITH AMPLITUDE-PHASE MODULATION

Рассмотрен способ увеличения спектральной эффективности радиосигналов с амплитудно-фазовой модуляцией на базе векторного сложения фазомодулированных сигналов. Проведено моделирование и сравнение результатов усиления радиосигналов с амплитудно-фазовой модуляцией в схемах с применением способа линеаризации и без него.

A method for increasing the spectral efficiency of radio signals with amplitude-phase modulation based on the vector addition of phase-modulated signals is considered. A simulation and comparison of the results of amplification of radio signals with amplitude-phase modulation in circuits using the linearization method and without it has been carried out.

Введение. В настоящее время все более остро встает вопрос повышения скорости передачи информации в беспроводных сетях связи. Развитие ИСОД МВД России ведет к увеличению объемов передаваемых данных, а достижение требуемых высоких скоростей тесно связано с необходимостью обеспечения высокой спектральной эффективности каналов связи.

Растущий спрос на спектральную эффективность в системах радиосвязи порождает необходимость внедрения сигналов с амплитудно-фазовой модуляцией (АФМ), которые можно реализовать в многоуровневых линейных схемах.

В системах АФМ в течение интервала передачи одного элементарного сигнала амплитуда и фаза могут принимать значения, выбранные из ряда возможных дискретных значений амплитуд и фаз. Каждая комбинация значений амплитуды и фазы отображает один из многопозиционных сигналов группового сигнала с основанием кода M = 2N [1]. Сигналы АФМ могут быть сформированы с помощью многоуровневой амплитудно-фа-

зовой модуляции двух колебаний несущей частоты, сдвинутых на п/2. Поскольку огибающие данных сигналов колеблются, эти схемы более чувствительны к нелинейностям различных устройств передающего тракта, таких как модулятор, синтезатор частот, смеситель и усилитель мощности (УМ), являющийся основным источником нелинейных искажений в микроволновом передатчике.

Разработчики УМ сталкиваются с коллизией обеспечения одновременно высокой линейности и высокой энергетической эффективности.

Эксплуатация усилителя мощности в линейной области является очевидным решением. При этом средняя выходная мощность намного меньше, чем мощность насыщения усилителя. В свою очередь, это ведет к увеличению стоимости и снижению эффективности, поскольку требует задействования дополнительных каскадов усиления для поддержания заданного уровня передаваемой мощности. Эффективность энергопотребления, безусловно, является критически важным фактором для портативных систем радиосвязи, где часто используются источники питания в небольших корпусах, а наличие энергопотерь при теплоотдаче является существенным недостатком, требующим дополнительных систем охлаждения.

Другим подходом к снижению нелинейных искажений является применение различных схем линеаризации усилителя мощности.

Одним из наиболее эффективных способов уменьшения нелинейных искажений является линеаризация амплитудно- и фазочастотных характеристик усилителей мощности, приведение формы сигналов к постоянной огибающей [2], которые независимо усиливаются энергосберегающими усилителями класса С, а затем объединяются с использованием гибридного ответвителя.

Данный способ получил название LINC (линейное усиление с использованием нелинейных компонентов).

В результате анализа метода линеаризации К^С, а также изучения работ Р. Кони-сто, посвящённых разработке векторной системы автоподстройки частоты, в работе было произведено моделирование с параллельным вычитанием/сложением сигналов и их дальнейшей фазовой модуляцией.

Моделирование способа повышения спектральной эффективности радиосигналов. Моделирование систем QPSK и л/4-DQPSK с использованием цифровых потоков данных показало, что траектория сигнального созвездия для кодированного сигнала л/4-DQPSK не проходит через точку (0,0). Мгновенная амплитуда огибающей сигнала QPSK падает до 0 при сдвиге сигнала по фазе на 180°, то есть происходит скачок между точками (1, 1) к (-1, -1) или (1, -1) к (-1, 1).

Это становится проблемой для нелинейного усилителя мощности с ограниченным динамическим диапазоном и может в результате привести к увеличению спектра.

При этом сигнальное созвездие на фазовой плоскости отражает, что форма огибающей сигнала на выходе модулятора может быть представлена выражением

V(t)= 1(0^(юс(0+ фте) - Q(t)sin(юc(t)+фref) (1)

с модулем огибающей | V(/) |= ^^^(О+ОСО . (2)

Также на рис. 1 видно, что сигнальное созвездие л/4-DQPSK состоит из двух сигналов QPSK, сдвинутых на л/4 относительно друг друга. Минимальный фазовый сдвиг, который добавляет фазу л/4 между символами, ограничивает максимальную фазу 135°, а не 180°, как это происходит в QPSK. Это позволяет сохранить огибающую более постоянной, чем при модуляции QPSK, ведет к меньшему спектральному росту и лучшей

156

величине ACPR (Adjust Conjugate Power Ratio) при прохождении кодовой последовательности через нелинейный УМ [3].

Рис.1. Траектория сигнала QPSK и тс/4-DQPSK на фазовой плоскости (I,Q)

В процессе моделирования было определено входное сопротивление портов RIn = 50 Ом, внутреннее сопротивление выходных портов Rout= 50 Ом и температура резисторов RTemp = -273.15, которая соответствует отсутствию теплового шума в резисторах.

На рис. 2 представлен источник данных «Data», формирующий поток NRZ (Non Reverse Zero — не возвращаемые к нулю), которые поступают в разделитель потока данных на I Q компоненты «Symbol Splitter», а компонент кодер «Encoder IQ» выполняет модуляцию по закону тс/4-DQPSK. При этом поток данных имеет следующие параметры: Bit rate (битовая скорость, частота следования битов) — 48 кГц; Bit time (длительность бита) — 1/Bit rate; Sym rate (символьная скорость) — Bit rate/2; Sym time (длительность символа) — 1/Sym rate; Sam per sym (количество выборок на символ) — 10; Tstep (временной шаг, через который выполняется расчет потока данных) — 1/(Sym rate*Sam per sym).

Разделитель символов «Symbol Splitter» принимает два бита одновременно и выводит первый бит на вывод Q, а второй бит на вывод I. Результирующие I и Q символы будут иметь вдвое большую продолжительность. Параметр Sym time — это опорный параметр ввода, или время передачи бита. Этот элемент выполняет функцию преобразователя последовательного потока данных в параллельную последовательность, чтобы задать четыре возможных состояния символа (22 = 4 возможных комбинаций) для квадратурной фазовой модуляции QPSK.

На рис. 3 представлен поток данных NRZ (Non Reverse Zero — не возвращаемые к нулю) из источника данных и идеальное сигнальное созвездие на выходе разделителя данных.

Рис. 2. Источник данных, декодер, фильтры с характеристикой типа

приподнятый косинус

Так как сигнал еще не был подвержен фильтрации и ограничению по частоте в базовой полосе, то символы NRZ имеют прямоугольную форму, а сигнальное созвездие будет идеальным (четыре точки). Реальное сигнальное созвездие (звездная диаграмма) будет неидеальным, поскольку в действительности бесконечной полосы каналов не существует. Сигнал, представленный на рис. 3, очень широкополосный, что неэффективно для последующей обработки потока данных, поэтому его пропускают через фильтры, которые имеют характеристики, позволяющие при максимальном сжатии полосы сигнала получить минимальные искажения. Таким фильтром является фильтр с характеристикой в виде приподнятого косинуса [3].

Ideal Constellation ^jalxl

File View

Ьп Идеальное сигнальное созвездие

2.00 - •

1.00

0.00

-1.00

-2.00 . . . .

-2.00 -1.00 0.00 1.00 2.00 Re

Рис. 3. Поток данных NRZ и сигнальное созвездие идеального QPSK сигнала

Идеальные прямоугольные символы приведут к сильному расширению спектра, когда символы I и Q модулируются высокочастотной (ВЧ) несущей. Элементы «LPF Raised Cosine Timed» являются фильтрами с характеристикой типа «приподнятый косинус», пройдя через них, прямоугольные символы значительно уменьшают ширину спектра, но искажаются так, чтобы при передаче такого искаженного символа это привело бы к минимальному ухудшению работы системы [3].

В результате передаточная функция канала с прямоугольной характеристикой модифицируется амплитудным корректором вида x/sinx [4].

Фазомодулированный сигнал QPSK или тс/4-DQPSK, пропущенный через фильтр, становится ещё и амплитудно-модулированным. Таким обрзом его перенос на ВЧ несущую можно осуществить с помощью амплитудного модулятора «QAM Mod», имеющего следующие параметры: FCarrier (несущая частота) — 60 МГц, Power (мощность на входе модулятора) — 10 dBm, VRef (опорное напряжение) — 1B (рис. 4).

Рис. 4. Векторная схема линеаризации

С выходов ВЧ разделителя символов «RF Symbol Splitter» ВЧ амплитудно-фазо-модулированный сигнал параллельно поступает на амплитудный «AM Demod» и фазовый демодуляторы «PM Demod» на выходе которых, установлены компоненты параллельного сложения «Add» и вычитания «Sub», формирующие сигналы управляющего воздействия для фазовых модуляторов «PM Mod». Одним из недостатков данной схемы, как и LINC систем, является высокая чувствительность к несовпадениям фазы и амплитуды между каналами. Это вызывает сильное уменьшение линеаризации даже при минимальной несогласованности [5].

Два фазомодулированных сигнала, имеющие постоянную огибающую, поступают на усилители «Gain RF», после чего складываются в компоненте «Mixer RF».

Применяемые элементы «Gain RF» моделируют непосредственную работу нелинейного усилителя и работу усилителя с использованием схемы линеаризации. Усилители описываются как блоки с заданными входными и выходными сопротивлениями, усилением по напряжению и точкой пересечения третьего порядка [3].

Компоненты «TkPlot» и «Spectrum Analyzer» позволяют отобразить выходные данные о форме сигнала и его спектре.

LPF_RaisedCoslneTimed ■ L3..........

Loss=Q.D........

Corn erFreq=Fi lt_Nyq ill st_fteq E)tcessBw=D.35

Type=Madel with pulse equalization

Рис. 5. Схема усиления без применения линеаризации

Результаты моделирования. На рис. 6 изображены спектры сигналов, подвергшихся усилению с применением схемы линеаризации OUT_Spectr, без схемы линеаризации OUT_Spectr2 и входной амплитудно-фазомодулированный сигнал MoD_Spectr. Параметры элемента «Gain RF»: усиление по напряжению — 4, точка пересечения третьего порядка--30dB.

48 кГц — входной сигнал тс/4-DQPSK был подан непосредственно на нелинейный УМ и схему с использованием линеаризации. При значении уровня усиленного сигнала -40dB выявлено сужение ширины спектра на 10 кГц при использовании линеаризации. Без применения схемы линеаризации на нелинейном усилителе излучение спектра в точке m3--26,7 dBm, в точке m4--76,9 dBm, в точке m5--83, 5 dBm.

При увеличении коэффициента усиления по напряжению до 5 происходит рост боковых спектральных составляющих в точке m3 с -26,7 dBm до -20,8 dBm, в точке М4 — с -76,9 dBm до -57 dBm, в точке m3 — с -83,5 dBm до -60,8 dBm (рис. 7). Увеличения ширины спектра практически не происходит.

Увеличение коэффициента усиления по напряжению до 7 приводит к росту боковых спектральных составляющих в точке m3 до -16 dBm, в точке m4 — до -31,4 dBm, в точке m5 — до -49,6 dBm. При значении уровня усиленного сигнала -40dB выявлено сужение ширины спектра с 60 кГц до 30 кГц при использовании линеаризации (рис. 8).

Г2Н

ЕР 2

йВт(ОиТ_Зрес(г2)=-25.452 6 Вт (011Т_Зрес1г)=-40.524 dBm(Mod_Spectг)=-78.891

Ггем}=59_96МНг ЬВт(ОиТ_ЗресИ)=^0 545 йВт(0иТ_Зрес!:г)=-56 071 ЬВт(Мос1_Зрес{г)=-83.416

тЗ

1гед=59.96МН2 dBm(OUT_Spectг2)=-26.674

п4

Г1ео=59 94МНг dBm(OUT_Spectг2)=-76.937

т5

(те д=59.91 М Нг dBm(OUT_Spectг2)=-83-485

Ггёд МНг]

Рис. 6. Спектры выходных и входного сигнала. График 1 Г211

" " о

Т3 1-1-1

озЦ Е&О

Е еЕ Ш£ит ТЗТЗЙ

-100-

-140

1гед, МНг

Рис. 7. Спектры выходных и входного сигнала. График 2

П

f1

D—

-20-

-40—

4_1 4-1 —

О " tj ш Я> "

WM.W

Sog EEe

m ш

tu "О

OD

-30-

-120-

-14D-

т: п4 У

гп5 / Л ^ч*

—1... . 14 Wratk

»У л

f1

freq=59.97MHz dBm(0UT_Spectr2}=-15.1 SO dBm(OUT_Spectr)=-39.344 d Вт (M od_Spectr)=-7S .891

12

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

freq=5 9.94MHz dBm(OUT_Spectr2)=^0 633 dBm(0UT_Spectr)=-81.546 d Вт (M od Spe ctr)=-B9 978

m3

fteq=59_96MHz dBm(0UT_Spectr2)=-16.074

m4

fteq=59_94MHz dBm(OUT_Spectr2)=-31 408

m5

freq=59.91MHz dBm(0UT_Spectr2)=^ 9.607

freq, MHz

Рис. 8. Спектры выходных и входного сигнала. График 3

Заключение. Применение предложенного способа повышения спектральной эффективности радиосигналов с амплитудно-фазовой модуляцией позволяет уменьшить излучения боковых спектральных составляющих и снизить внеполосные излучения широкополосных сигналов.

ЛИТЕРАТУРА

1. Душин В. К. Теоретические основы информационных процессов и систем : учебник. — 5-е изд. — М. : Дашков и К, 2014. — 348 с.

2. Шерстюков С. А., Печников C. C. Повышение энергетических свойств радиопередающих устройств, формирующих высокоэффективные радиосигналы с цифровой модуляцией // Охрана, безопасность, связь — 2018 : материалы международной научно-практической конференции. — Воронеж : Воронежский институт МВД России, 2018. — C. 168—171.

3. Курушин А. А., Мельников А. О. Моделирование цифровых потоков радиосвязи в среде ADS / Ptolemy. — М. : СОЛОН-Пресс, 2005. — 184 с.

4. Феер К. Беспроводная цифровая связь. Методы модуляции и расширения спектра / пер. с англ. под ред. В. И. Журавлева. — М. : Радио и связь, 2000. — 520 с.

5. Kaunisto R. A vector-locked loop for power amplifier linearization // IEEE MTT-S International Microwave Symposium Digest (IEEE Cat. No.04CH37535) — Fort Worth TX USA. — 2004. — Vol. 2. — P. 673—676.

6. Шерстюков С. А. Применение векторной системы автоматической подстройки частоты (VLL) для усиления OFDM-радиосигналов // Общественная безопасность, законность и правопорядок в III тысячелетии : материалы международной научно-практической конференции (Воронеж, 28—29 июля 2012 г.). Ч. 3: Естественные, технические и социально-гуманитарные науки. — Воронеж : Воронежский институт МВД России, 2012. — С. 90—94.

7. Амплитудные и фазовые ошибки в схемах линеаризации усилителей / А. В. Дрижанов [и др.] // Материалы VII Международной научно-технической конференции, 7—11 декабря 2009 г. — М. : МИРЭА, 2009.

8. Шерстюков С.А. Способы формирования OFDM радиосигнала с постоянной огибающей (CE-OFDM) и одновременной компенсацией регулярных помех синтезатора частоты // Спецтехника и связь.— 2011. — № 6. — С. 24—27.

9. Аверина Л. И., Шутов В. Д., Бугров О. В. Сравнительный анализ цифровых корректоров для линеаризации усилителей мощности // Кибернетика и высокие технологии XXI века : XVI Международная научно-техническая конференция, 13—14 мая 2015 г.

— Воронеж, 2015. — С. 170—177.

REFERENCES

1. Dushin V. K. Teoreticheskie osnovyi informatsionnyih protsessov i sistem : ucheb-nik. — 5-e izd. — M. : Dashkov i K, 2014. — 348 s.

2. Sherstyukov S. A., Pechnikov C. C. Povyishenie energeticheskih svoystv radio-peredayuschih ustroystv, formiruyuschih vyisokoeffektivnyie radiosignalyi s tsifrovoy mod-ulyatsiey // Ohrana, bezopasnost, svyaz — 2018 : materialyi mezhdunarodnoy nauchno-praktich-eskoy konferentsii. — Voronezh : Voronezhskiy institut MVD Rossii, 2018. — C. 168—171.

3. Kurushin A. A., Melnikov A. O. Modelirovanie tsifrovyih potokov radiosvyazi v srede ADS / Ptolemy. — M. : SOLON-Press, 2005. — 184 s.

4. Feer K. Besprovodnaya tsifrovaya svyaz. Metodyi modulyatsii i rasshireniya spektra / per. s angl. pod red. V.I. Zhuravleva. — M. : Radio i svyaz, 2000. — 520 s.

5. Kaunisto R. A vector-locked loop for power amplifier linearization // IEEE MTT-S International Microwave Symposium Digest (IEEE Cat. No.04CH37535) — Fort Worth TX USA. — 2004. — Vol. 2. — P. 673—676

6. Sherstyukov S. A. Primenenie vektornoy sistemyi avtomaticheskoy podstroyki chastotyi (VLL) dlya usileniya OFDM-radiosignalov // Obschestvennaya bezopasnost, zakonnost i pravopor-yadok v III tyisyacheletii : materialyi mezhdunarodnoy nauchno-prakticheskoy konferentsii (Voronezh, 28—29 iyulya 2012 g.). Ch. 3. Estestvennyie, tehnicheskie i sotsialno-gumanitarnyie nauki.

— Voronezh : Voronezhskiy institut MVD Rossii, 2012. — S. 90—94.

7. Amplitudnyie i fazovyie oshibki v shemah linearizatsii usiliteley / A. V. Drizhanov [i dr.] // Materialyi VII Mezhdunarodnoy nauchno-tehnicheskoy konferentsii, 7—11 dekabrya 2009 g.

— M. : MIREA, 2009.

8. Sherstyukov S.A. Sposobyi formirovaniya OFDM radiosignala s postoyannoy ogibayuschey (CE-OFDM) i odnovremennoy kompensatsiey regulyarnyih pomeh sintezatora chastotyi // Spetstehnika i svyaz.— 2011. — #6. — S. 24—27.

9. Averina L. I., Shutov V. D., Bugrov O. V. Sravnitelnyiy analiz tsifrovyih korrektorov dlya linearizatsii usiliteley moschnosti // Kibernetika i vyisokie tehnologii XXI veka : XVI Mezhdunarodnaya nauchno-tehnicheskaya konferentsiya, 13—14 maya 2015 g. — Voronezh, 2015. — S. 170—177.

СВЕДЕНИЯ ОБ АВТОРАХ

Шерстюков Сергей Анатольевич. Профессор кафедры инфокоммуникационных систем и технологий. Доктор технических наук, доцент.

Воронежский институт МВД России.

E-mail: sherstukov@vimvd.ru

Россия, 394065, Воронеж, проспект Патриотов, 53. Тел. +7 (473) 200-52-26.

Печников Сергей Сергеевич. Адъюнкт кафедры инфокоммуникационных систем и технологий.

Воронежский институт МВД России.

E-mail: orl_os@mail.ru

Россия, 394065, г. Воронеж, проспект Патриотов, 53. Тел. +7 (473) 200-52-31.

Sherstyukov Sergey Anatolyevich. Professor of the chair of Infocommunication Systems and Technologies. Doctor of Technical Sciences, Assistant Professor.

Voronezh Institute of the Ministry of the Interior of Russia.

E-mail: sherstukov@vimvd.ru

Work address: Russia, 394065, Voronezh, Prospect Patriotov, 53. Tel. +7 (473) 200-52-26.

Pechnikov Sergey Sergeevich. Post-graduate cadet of the chair of Communication Systems and Technologies.

Voronezh Institute of the Ministry of the Interior of Russia.

E-mail orl_os@mail.ru

Work address: Russia, 394065, Voronezh, Prospect Patriotov, 53. Tel. +7 (473) 200-52-31.

Ключевые слова: цифровая радиосвязь; сигналы с высокой спектральной эффективностью; линеаризация усилителей мощности; фазовый детектор; амплитудный детектор; фазовый модулятор.

Keywords: digital radio communication; signals with high spectral efficiency; linearization of power amplifiers; phase detector; amplitude detector; phase modulator.

УДК 621.396

i Надоели баннеры? Вы всегда можете отключить рекламу.