Научная статья на тему 'Платформы FPGA  Virtex-6  cxt и  Virtex-6  HXT фирмы  Xilinx'

Платформы FPGA  Virtex-6  cxt и  Virtex-6  HXT фирмы  Xilinx Текст научной статьи по специальности «Электротехника, электронная техника, информационные технологии»

CC BY
312
203
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по электротехнике, электронной технике, информационным технологиям, автор научной работы — Тарасов Илья

FPGA семейств Virtex-6 фирмы Xilinx выполнены на базе третьего поколения архитектуры ASMBL (Advanced Silicon Modular Blocks). Эта технология основана на организации FPGA в виде колонок и позволяет быстро выпускать подсемейства (в терминологии Xilinx — платформы) FPGA с различным соотношением основных программируемых ресурсов, комбинируя нужное количество заранее подготовленных колонок. В статье рассматриваются новые платформы: Virtex-6 CXT, предназначенная для построения недорогих цифровых систем с использованием всех функциональных возможностей семейства Virtex-6, и Virtex-6 HXT. Их основное отличие — аппаратные ядра высокоскоростных приемопередатчиков GTH с поддержкой скоростей передачи более 10 Гбит/с. Также в статье анализируется анонс Xilinx по выпуску 28-нм FPGA следующего поколения, который запланирован на IV квартал 2010 года.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Текст научной работы на тему «Платформы FPGA  Virtex-6  cxt и  Virtex-6  HXT фирмы  Xilinx»

Илья Тарасов,

к. т. н.

tile@kc.ru

введение

Освоение 40нм технологического процесса представляет собой сложную задачу для производителей электронных компонентов, поэтому в последнее время на рынке FPGA можно было наблюдать некоторую растянутость во времени при анонсировании семейств ПЛИС, выпускаемых по этим нормам. В то же время для ПЛИС Virtex фирмы Xilinx, начиная с Virtex-4, проблемы достижения требуемых технологических показателей несколько сглажены использованием архитектуры ASMBL. Аббревиатура расшифровывается как Advanced Silicon Modular Blocks («улучшенные кремниевые модульные блоки») и обозначает архитектуру FPGA, при которой кристалл организовывается в виде колонок, каждая из которых представляет собой отдельный тип ресурсов: программируемые ячейки, блоки ввода/вывода, блочную память, блоки DSP, приемопередатчики и т. д. Это позволяет конструкторам достаточно оперативно «собирать» новые ПЛИС с требуемым соотношением логических ресурсов, а при необходимости и добавлять новые колонки, формируя таким образом новое подсемейство, которое для ПЛИС Virtex в терминологии Xilinx называется платформой (platform). Семейство Virtex-6 состоит из нескольких платформ — в первом анонсе упоминались платформы LXT (Logic) и SXT (Signal processing), что обозначает, соответственно, ПЛИС, ориентированные на проекты с большим количеством программируемой логики, и ПЛИС, ориентированные на интенсивную цифровую обработку сигналов.

Платформы FPGA Virtex-6 CXT и Virtex-6 HXT

фирмы Xilinx

FPGA семейств Virtex-6 фирмы Xilinx выполнены на базе третьего поколения архитектуры ASMBL (Advanced Silicon Modular Blocks). Эта технология основана на организации FPGA в виде колонок и позволяет быстро выпускать подсемейства (в терминологии Xilinx — платформы) FPGA с различным соотношением основных программируемых ресурсов, комбинируя нужное количество заранее подготовленных колонок. в статье рассматриваются новые платформы: Virtex-6 CXT, предназначенная для построения недорогих цифровых систем с использованием всех функциональных возможностей семейства Virtex-6, и Virtex-6 HXT. Их основное отличие — аппаратные ядра высокоскоростных приемопередатчиков GTH с поддержкой скоростей передачи более 10 Гбит/с. Также в статье анализируется анонс Xilinx по выпуску 28-нм FPGA следующего поколения, который запланирован на IV квартал 2010 года.

Если обратиться к предыдущему семейству, Virtex-5, то там можно увидеть следующие платформы:

• LX — «логическая» платформа.

• LXT — «логическая» платформа с добавлением высокоскоростных последовательных приемопередатчиков (Multi-Gigabit Transcievers, MGT), их присутствие показано символом T в обозначении платформы и распространено на все последующие платформы в данном списке.

• SXT — ПЛИС с ориентацией на цифровую обработку сигналов и увеличенным вследствие этого числом блоков XtremeDSP (аппаратное умножение с накоплением).

• FXT — ПЛИС с аппаратными ядрами PowerPC440.

• TXT — ПЛИС с увеличенным числом скоростных приемопередатчиков, предназначенная для построения телекоммуникационных устройств с суммарной пропускной способностью более 100 Гбит/с. Интенсивное развитие телекоммуникационных систем и систем, использующих высокоскоростные последовательные интерфейсы, обусловило тот факт, что в семействе Virtex-5 только платформа LX не имеет аппаратных блоков MGT. Для всех остальных применений такие блоки при их относительно небольшом удельном весе (а соответственно, и небольшой добавляемой стоимости) обеспечивают существенное расширение функциональных возможностей, тем более что реализация таких интерфейсов на внешних компонентах сопряжена с целым рядом конструкторских проблем.

С переходом к Virtex-6 оказалось, что блоки MGT отсутствуют в единственном кристалле — LX760, который является на данный момент абсолютным лидером всего семейства по объему логических ячеек. Очевидна его ориентация на прототипирование цифровых систем, в том числе ASIC и ASSP, для чего аппаратные блоки MGT не имеют такой острой актуальности: ПЛИС выступает в данном случае просто испытательным стендом. Однако все остальные FPGA Virtex-6 имеют в своем составе MGT, так что платформа LX представлена единственным вариантом LX760, а остальные кристаллы обозначаются как LXT. Вообще, оценку актуальности скоростных последовательных приемопередатчиков со стороны фирмы Xilinx нетрудно оценить хотя бы по их наличию в семействе Spartan-6, которое традиционно является дешевым вариантом FPGA. Таким образом, можно констатировать, что аппаратные ядра высокоскоростных последовательных приемопередатчиков прочно заняли место среди ресурсов FPGA.

Для этого типа ресурсов важнейшим показателем является максимальная пропускная способность, увеличение которой представляет собой сложную технологическую задачу. Важность именно технологических аспектов отмечается потому, что основные проблемы лежат не в сфере получения единственного работающего экземпляра приемопередатчика, а в получении приемлемого процента брака при серийном производстве. Поэтому слишком ранние анонсы тех или иных устройств, которые прин-

ципиально способны поддерживать те или иные протоколы обмена, означают, скорее всего, наличие только единичных инженерных образцов, но не обязательно возможность заказа серии ПЛИС. В настоящее время планкой, которую преодолевает микроэлектронная индустрия, является массовое распространение 10-Гбит интерфейсов. Такие скорости обмена были недоступны для предыдущих поколений ПЛИС Xilinx, и даже в ранее анонсированных платформах Virtex-6 максимальная скорость была установлена на уровне 6,75 Гбит/с. Однако сейчас наконец-то объявлено о начале выпуска FPGA Virtex-6 платформы HXT, которые имеют на кристалле приемопередатчики с максимальной скоростью 11,2 Гбит/c.

Вместе с платформой HXT объявлено также о начале выпуска ПЛИС платформы CXT, которые занимают противоположную часть спектра high-end FPGA. Эти микросхемы полностью соответствуют младшим ПЛИС платформы LXT, однако имеют пониженные рабочие частоты для основных программируемых элементов и приемопередатчики класса GTX с пропускной способностью 3,75 Гбит/с. Вместе с этим цена на ПЛИС этой платформы пропорционально снижена.

Характеристики ПЛИС платформы Virtex-6 CXT

Платформа CXT предназначена для замены относительно дорогих FPGA платформы LXT в тех случаях, когда высокая производительность оказывается избыточной.

Характеристики ПЛИС Virtex-6 CXT приведены в таблице 1.

По данным табл. 1 платформа CXT отличается от LXT количеством приемопередатчиков — 16 вместо 20 в старших моделях. Блоки EMAC имеются только в одном экземпляре (в LXT их 4). На момент подготовки статьи отсутствовали корпуса FFG1759, FFG1760, а также класс быстродействия -3.

К основным отличиям ПЛИС платформы CXT можно отнести пониженную приблизительно на 20% производительность матрицы логических ячеек, а также существенное снижение рабочих частот для аппаратных блоков (табл. 2).

Анализ данных из таблицы 1 показывает, что платформа CXT представляет собой вариант платформы LXT с менее скоростными компонентами. Производительность матрицы логических ячеек можно условно оценить по параметру CLB flip-flop toggle frequency, который приводится в документации в качестве справочной информации (эта частота не является системной тактовой и не означает, что такого показателя можно достигнуть для реального проекта). Прочие параметры КЛБ, которых приводится более 30, или совпадают, или чуть хуже аналогичных показателей для LXT [2, 3]. В целом, логические ячейки ПЛИС платформы CXT соответствуют наихудшему классу быстродействия ПЛИС LXT.

Чуть иначе обстоит ситуация с аппаратными блоками. Например, максимальная частота работы блочной памяти ниже на 25% (сравнивая устройства с одинаковым клас-

сом быстродействия), производительность блока XtremeDSP для класса быстродействия -1 ниже на 38%. Максимальная скорость передачи для последовательных приемопередатчиков меньше почти в 2 раза.

Анализируя характеристики представленной платформы, на фоне явного снижения производительности таких ПЛИС можно заметить, что новая платформа способствует обеспечению наиболее полного охвата сфер применения таких мощных устройств, как FPGA серии Virtex. Вместо ожидаемого от этой серии достижения пиковых показателей во всех возможных сферах Xilinx предоставляет вариант микросхем со сниженной производительностью, которые имеют и соответствующую цену. Можно представить ситуацию, когда прототип устройства, выполненный на дорогом кристалле Virtex-6 LXT, достигает требуемых параметров с явным запасом, и даже класс быстродействия -1 представляется для решаемой задачи чрезмерным. В этом случае удобно воспользоваться ощутимо более дешевым вариантом CXT, который вдобавок и перекрывает именно младшую часть линейки LXT.

Характеристики ПЛИС платформы Virtex-6 HXT

Платформа Virtex-6 HXT имеет принципиальное отличие от остальных платформ Virtex-6 в том, что ее последовательные приемопередатчики могут работать на скоростях до 11,2 Гбит/с. Таким образом, с помощью именно этой платформы можно преодолеть принципиальный порог в 10 Гбит/с, который достаточно важен для современной микроэлектроники. Состав платформы и технические характеристики микросхем приведены в таблице 3.

ПЛИС платформы HXT содержат по 24 приемопередатчика GTH, начиная со второго по объему устройства HXT255. Остальные приемопередатчики (24 или 48 штук на кристалл) представляют собой модули GTX, скорость передачи которых ограничена величиной 6,5 Гбит/с.

Такое количество приемопередатчиков, которые обеспечивают суммарную пропускную способность более 200 Гбит/с только для модулей GTH, дают основания для поиска соответствующих сфер применения подобных устройств. Можно обратить внимание на то, что приемопередатчики с пониженной скоростью присутствуют в ПЛИС HXT в удвоенном количестве, что позволяет, например, разрабатывать устройства для взаимного преобразования пакетов между сетями 10 Гбит/с и сетями с более низкой скоростью. В качестве примера можно привести устройство обработки пакетов в проводных коммуникациях формата 8x10GE/2x40GE (рис. 1). Это устройство содержит ПЛИС Virtex-6 HX380T, который преобразует 8 входящих каналов со скоростью 10 Гбит/с в 16 каналов со ско-

Таблица 1. Характеристики ПЛИС Virtex-б платформы CXT

Кристалл (XC6V...) CX75T CX130T CX195T CX240T

Секции (4 6-LUT + 8 FF) 11 б4О 2О ООО 31 2ОО 37 б8О

Логические ячейки 74 49б 128 ООО 199 б8О 241 152

Триггеры 93 12О 1бО ООО 249 бОО 3О1 44О

Распределенная память (max), кбит 1О45 174О 3О4О 3б5О

Блоки памяти BRAM (по 36 кбит) 15б 2б4 344 41б

Общая емкость BRAM, кбит 5б1б 95О4 12 384 14 97б

Блоки синхронизации (MMCM) б 1О 1О 12

Контакты (max) 3бО бОО бОО 72О

Дифференциальные пары (max) 18О 3ОО 3ОО 3бО

DSP48E1 288 48О б4О 7б8

PCI Express блок 1 2 2 2

10/100/1000 Ethernet MAC 1 1 1 1

Трансивер GTX с пониженным потреблением 12 1б 1б 1б

Коммерческий диапазон (C) -1, -2 -1, -2 -1, -2 -1, -2

Индустриальный диапазон (I) -1, -2 -1, -2 -1, -2 -1, -2

Конфигурационная память, Мбит 25,О 41,7 58,7 7О,4

Корпус Размер/шаг

FF(G)484 23х23/1,О мм 24О/8 24О/8 - -

FF(G)784 29х29/1,О мм 3бО/12 4ОО/12 4ОО/12 4ОО/12

FF^I^ 35х35/1,О мм - бОО/1б бОО/1б бОО/1б

Таблица 2. Сравнительные характеристики производительности блочных ресурсов платформ LXT и СХТ

Платформа LXT CXT

Класс быстродействия (speed grade) -1 -2 -3 -1 -2

Частота переключения триггера КЛБ (toggle frequency), МГц 1О98 1О8б,4 1412 1О98 1О98

Блочная память: максимальная частота работы, МГц 45О 54О бОО 35О 4ОО

Блоки цифровой обработки сигналов DSP48E1: максимальная частота работы, МГц 45О 54О бОО 275 35О

Трансивер GTX: максимальная скорость передачи, Гбит/с 5,О б,5 б,5 3,125 3,75

Таблица 3. Характеристики FPGA платформы Virtex-б HXT

Кристалл (XC6V...) HX250T HX255T HX380T HX565T

Секции (4 6-LUT + 8 FF) 39 3б0 39 600 59 760 88 560

Логические ячейки 251 904 253440 382 464 566784

Триггеры 314 880 316800 478 080 708 480

Распределенная память (max, кбит) 3040 3050 4570 6360

Блоки памяти BRAM (по 36 кбит) 504 516 768 912

Общая емкость BRAM (кбит) 18 144 18 567 27 648 32 832

Блоки синхронизации (MMCM) 12 12 18 18

Контакты (max) 320 480 720 720

Дифференциальные пары (max) 1б0 240 360 360

DSP48E1 57b 576 864 864

PCI Express блок 4 2 4 4

10/100/1000 Ethernet MAC 4 2 4 4

Трансивер GTX с пониженным потреблением 48 24 48 48

Трансивер GTH с повышенной скоростью передачи - 24 24 24

Коммерческий диапазон (C) -1,-2,-3 -1,-2,-3 -1,-2,-3 -1, -2

Индустриальный диапазон (I) -1, -2 -1, -2 -1, -2 -1

Конфигурационная память, Мбит 76,2 76,2 114,2 153,2

Корпус Размер/шаг Число выводов/GTX/GTH

FF1154 35x35/1,0 мм 320/48/0 - 320/48/0 -

FF1155 35x35/1,0 мм - 440/24/12 440/24/12 -

FF1923 45x45/1,0 мм - 480/24/24 720/40/24 720/40/24

FF1924 45x45/1,0 мм - - 640/48/24 640/48/24

2xXLAUIor8xSFI 8x10,3125Gb /s

ТСАМ

Оптика 2x40GE или 8x10GE

Airora 16x6,25Gb/s

DDR3SDRAM

GTH 2x40GE 8x1 OGE MAG Packet Proc. Airora GTX

Virtex-6 НХ380Т FPGA

Слой данных Слой управления

100G Interlaken 16x6,25Gb/s

GTX Airora Traffic Mgmt. Inter- laken GTX

Virtex-6 LX550T FPGA

PCle PCle

SRAM Gen2x4 Gen2x4 SRAM

Сбросы

NPU or Backplane

PCle

PCle

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

Gen2x4 PCle Gen 1x1

Управляющий Bridge Spartan-б LX45T

процессор FPGA

Шина процессора

Периферия

Рис. 1. Пример устройства обработки пакетов, использующего различные виды FPGA

ростью 6,25 Гбит/с. Эти потоки данных передаются в ПЛИС Virtex-6 LX550T с помощью относительно простого протокола Aurora, хорошо подходящего для передачи пакетов данных между микросхемами на одной печатной плате. Более емкая ПЛИС LX550T и осуществляет управление пакетами, имея для этого больший объем ресурсов. На том же рисунке можно видеть и вспомогательную ПЛИС Spartan-6 LX45T, выполняющую функции управления и связи процессора с периферийными устройствами. Таким образом, Xilinx демонстрирует пример объединения нескольких ПЛИС различного типа, в котором каждая из микросхем выполняет специфичную задачу с учетом соотношения аппаратных ресурсов.

Скоростные последовательные приемопередатчики GTH

Последовательные высокоскоростные интерфейсы получают все большее распространение в процессе развития цифровой электроники. Их привлекательность состоит

в том, что, по сравнению с параллельными интерфейсами, при их использовании не требуется обеспечивать синхронность передачи отдельных разрядов, а следовательно, скорость передачи последовательного потока можно сделать существенно выше, чем для

параллельно передаваемых данных в тех же условиях. Кроме того, гораздо меньшее количество линий, требуемых для передачи последовательного потока данных, снижает перекрестные помехи, потребляемую мощность, а также сложность разработки печатной платы. Среди широко известных последовательных интерфейсов можно назвать USB, Ethernet, состоявшиеся переходы Parallel ATA ^ Serial ATA для дисковых накопителей и PCI ^ PCI Express для системных шин. В каждом случае, с точки зрения потребителя, имело место повышение пропускной способности при уменьшении габаритов системы.

Существующие интерфейсы последовательной высокоскоростной связи обладают различными показателями производительности и дальности передачи. Примеры интерфейсов, реализуемых на базе приемопередатчиков FPGA Xilinx, схематично представлены на рис. 2. На нем можно видеть, что различные варианты последовательных приемопередатчиков применяются как для межчипового или межплатного обмена, так и для сетей различного масштаба.

Рассмотрим основные характеристики приемопередатчиков GTH. Как уже было отмечено, эти модули предназначены для работы со скоростями 10 Гбит/с, а конкретнее, они поддерживают два диапазона скоростей передачи:

• 2,488-2,795 Гбит/с;

• 9,953-11,18 Гбит/с.

Модули GTH объединены в четверки (quads), при этом на каждую четверку модулей имеется один PLL. Отдельные линии каждой четверки могут работать с разными скоростями, которые, тем не менее, должны относиться друг к другу как целое число (то есть для модуля устанавливается либо полная скорость, либо скорость, деленная на 4).

В соответствии с общепринятой моделью OSI (Open System Interconnection) выделяют следующие «нижние» уровни системы связи:

• PMD (Physical Medium Dependent) — физический уровень, реализуемый, например,

в виде медного кабеля или оптоволокна;

AURORA

SONET

PCI Express

10-Gb Ethernet

Fibre Channel Serial ATA Gbit Ethernet

Система или память локальной сети

Системная

плата

Процессор и локальная шина

Локальная

сеть

Обще-

городская

сеть

Глобальная

сеть

Рис. 2. Области применения высокоскоростных последовательных интерфейсов, создаваемых на основе приемопередатчиков в FPGA ХПтх

• PMA (Physical Medium Attachment) — уровень подключения к физическому носителю (например, блок SERDES);

• PCS (Physical Coding Sublayer) — уровень кодирования (например, выполняет кодирование 8 B/10 B).

Для приемопередатчиков MGT уровни PMA и PCS реализуются аппаратно, как показано на рис. 3 и 4 для передатчика и приемника соответственно. Таким образом, требуется выполнить подключение к внешнему носителю данных, а также обеспечить прием и передачу со стороны матрицы ячеек ПЛИС (FPGA fabric).

Настройка модулей GTH выполняется с помощью инструмента Core Generator, входящего в состав САПР ISE. Так как приемопередатчики представляют собой функционально законченные аппаратные блоки, их настройки относительно компактны, что показано на рис. 5, где приведены обе страницы диалоговых панелей настройки.

Следующее поколение FPGA

22 февраля компания Xilinx разместила на официальном сайте предварительный анонс нового поколения FPGA, которое будет выпущено с использованием технологического процесса с нормами 28 нм. В качестве производителей выбраны TSMC и Samsung, что продолжает стратегию Xilinx по сотрудничеству с более чем одним производителем микросхем с целью снижения рисков и поиска лучших технологических решений.

С уменьшением норм технологического процесса возрастает удельный вес статического потребления мощности. Это связано с увеличением токов утечки из-за уменьше-

Рис. 3. Передатчик модуля GTH

Equalizer I and RX-OOB

Serial

CDR ^ to

Л Parallel

PMA PLL Divider

PBRS I

I Checker I

From PMA PLL

RX - PMA RX- PCS

Comma g Detect I I and I Щ Align I

8В/

Loss of Sync

Elastic I Buffer і

FPGA Fabric

RX Status Control s-1 RX Pipe Control Д—1

Рис. 4. Приемник модуля GTH

ния толщины диэлектриков. Поэтому для нового поколения FPGA ХДтх выбрала вариант 28-нм процесса с пониженным потреблением мощности. Общее снижение мощности по сравнению с предыдущим поколением FPGA предполагается на уровне 50%.

По поводу архитектуры устройств нового поколения в пресс-релизе упоминается об «унифицированной архитектуре ASMBL».

Процесс унификации, а точнее, сближения архитектур программируемых ресурсов серий Virtex и Spartan проявился в текущем, шестом поколении этих микросхем. Одинаковые логические ячейки с 6-входовыми генераторами, блоки цифровой обработки с аппаратно реализованными аккумуляторами, скоростные приемопередатчики в платформе Spartan-6 LXT дают реальные возможно-

Рис. 5. Внешний вид диалоговых окон «мастера» настройки параметров приемопередатчика GTH

сти по разработке проектов, переносимых между высокопроизводительным семейством Virtex-6 и дешевым Spartan-6. Для разработчиков это означает возможность проводить прототипирование на мощной платформе Virtex-6, обладающей избыточным объемом ресурсов, что не создает дополнительных проблем с трассировкой и размещением проекта. При этом возможный переход на Spartan-6 оказывается достаточно простым, поскольку возможности программируемых ресурсов этого семейства весьма близки к Virtex-6. Исходя из информации, приведенной в пресс-релизе, в следующем поколении FPGA это направление будет продолжено и развито.

Также подтверждается информация о сотрудничества с ARM по размещению в следующем поколении FPGA аппаратного ядра процессора ARM.

Наконец, повышение логических объемов FPGA и появление среди аппаратных ядер нового поколения скоростных последовательных приемопередатчиков позволит довести общую пропускную способность одной микросхемы до 1 Тбит/с. Причем ожидается удвоение максимального объема FPGA по сравнению с предыдущим поколением этих микросхем.

Выпуск образцов FPGA нового поколения запланирован на IV квартал 2010 года.

Предварительные сведения и поддержка в САПР БЕ ожидаются в июне этого года.

Заключение

Необходимо отметить, что построение систем передачи данных со скоростями 10 Гбит/с представляет собой непростую техническую задачу, в которой настройка приемопередатчика, входящего в состав ПЛИС, является штатной операцией, которая выполняется в соответствии с документацией производителя. Гораздо более сложной задачей считается разработка печатной платы, способной обеспечить передачу данных на такой частоте, для чего требуется САПР для разработки печатных плат с соответствующими возможностями и дорогостоящее контрольно-измерительное оборудование. Тем не менее аппаратная реализация модуля приемопередатчика освобождает разработчика от необходимости отдельно заниматься этим вопросом.

Предложение разработчикам платформы СХТ — это еще один пример дифференциации высокопроизводительных FPGA, что позволяет подобрать микросхему с требуемыми характеристиками и сочетанием аппаратных ресурсов для каждой области применения. Можно также упомянуть, что для наиболее эффективной работы с такой дорогостоящей

элементной базой и при наличии уже достаточно большого количества платформ Xilinx настоятельно рекомендует разработчикам начинать консультации с инженерными центрами по техническим вопросам на возможно более ранней стадии проекта. Для России таким инженерным центром в настоящее время является ЗАО «КТЦ “Инлайн Груп”» (www.plis.ru), для которого дистрибуция продукции Xilinx совмещена с проведением официальных технических консультаций и учебных курсов по вопросам использования ПЛИС и программного обеспечения. Сотрудничество с инженерным центром на этапе проработки проекта является для Xilinx основанием корректировки ценовой политики в отношении заказчика ПЛИС, что повышает вероятность разработки конкурентоспособного устройства благодаря согласованию основных технических решений с авторизованным инженерным центром производителя. ■

Литература

1. Virtex-6 Family Overview. ds150.pdf.

2. Virtex-6 FPGA Data Sheet: DC and Switching Characteristics. ds152.pdf.

3. Virtex-6 CXT Family Data Sheet. ds153.pdf.

4. Virtex-6 FPGA GTH Transceivers. User Guide. ug371.pdf.

i Надоели баннеры? Вы всегда можете отключить рекламу.