Научная статья на тему 'Моделирование цифровых фильтров на программируемых логических интегральных схемах'

Моделирование цифровых фильтров на программируемых логических интегральных схемах Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
4346
719
i Надоели баннеры? Вы всегда можете отключить рекламу.
Ключевые слова
ЦИФРОВЫЕ ФИЛЬТРЫ / ИМПУЛЬСНАЯ ХАРАКТЕРИСТИКА / МАТЕМАТИЧЕСКАЯ МОДЕЛЬ / МЕТОД / ПРОЕКТИРОВАНИЕ / ДИСКРЕТИЗАЦИЯ / ПЕРЕДАТОЧНАЯ ФУНКЦИЯ / СТРУКТУРЫ / КОНФИГУРИРОВАНИЕ / DIGITAL FILTERS / IMPULSE RESPONSE / MATHEMATICAL MODEL / METHOD / DESIGN / DISCRETIZATION / TRANSFER FUNCTION / STRUCTURES / GENERATION CONFIGURATION

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Агаков Всеволод Георгиевич, Носов Анатолий Афанасьевич, Мягчилов Михаил Юрьевич, Абрамов Сергей Владимирович

Предложены результаты исследований в области создания цифровых фильтров на программируемых логических интегральных схемах. Рассмотрено моделирование фильтров с конечной и бесконечной импульсными характеристиками с использованием современных инструментариев – САПР ISE Xilinx и MatLab MathWorks – при их реализации на ПЛИС Spartan-3E семейства FPGA. Созданные модели автоматически переносятся в среду физического синтеза проектов на FPGA с помощью САПР ISE и пакета System Generator.

i Надоели баннеры? Вы всегда можете отключить рекламу.
iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

SIMULATION OF DIGITAL FILTERS ON PROGRAMMABLE LOGIC DEVICES

Proposed results of studies in the field of digital filters on the PLD. Considered of modeling of filters with finite and infinite impulse response using modern toolkits – CAD ISE Xilinx and MatLab MathWorks with their realization on Spartan-3E FPGA. The models automatically transferred in the physical synthesis environment of projects on FPGA with CAD ISE and System Generator software.

Текст научной работы на тему «Моделирование цифровых фильтров на программируемых логических интегральных схемах»

ЭЛЕКТРОНИКА, РАДИОТЕХНИКА И СВЯЗЬ

УДК 621.391.26

В .Г. АГАКОВ, А. А. НОСОВ,

М.Ю. МЯГЧИЛОВ, С.В. АБРАМОВ

МОДЕЛИРОВАНИЕ ЦИФРОВЫХ ФИЛЬТРОВ НА ПРОГРАММИРУЕМЫХ ЛОГИЧЕСКИХ ИНТЕГРАЛЬНЫХ СХЕМАХ

Ключевые слова: цифровые фильтры, импульсная характеристика, математическая модель, метод, проектирование, дискретизация, передаточная функция, структуры, конфигурирование.

Предложены результаты исследований в области создания цифровых фильтров на программируемых логических интегральных схемах. Рассмотрено моделирование фильтров с конечной и бесконечной импульсными характеристиками с использованием современных инструментариев - САПР ISEXilinx и MatLab MathWorks- при их реализации на ПЛИС Spartan-3E семейства FPGA. Созданные модели автоматически переносятся в среду физического синтеза проектов на FPGA с помощью САПР ISE и пакета System Generator.

V.G. AGAKOV, A.A. NOSOV,

M.Yu. MYAGCHILOV, S.V. ABRAMOV SIMULATION OF DIGITAL FILTERS ON PROGRAMMABLE LOGIC DEVICES

Key words: digital filters, impulse response, mathematical model, method, design, discretization, transfer function, structures, generation configuration.

Proposed results of studies in the field of digital filters on the PLD. Considered of modeling of filters with finite and infinite impulse response using modern toolkits - CAD ISE Xilinx and MatLab MathWorks with their realization on Spartan-3E FPGA. The models automatically transferred in the physical synthesis environment of projects on FPGA with CAD ISE and System Generator software.

Программируемые логические интегральные схемы (ПЛИС) получают широкое распространение в быстродействующей цифровой обработке сигналов и применяются как идеальные сопроцессоры для стандартных устройств цифровой обработки сигналов (ЦОС). Благодаря параллельной архитектуре и переконфигурируемому аппаратному обеспечению ПЛИС обладают большой вычислительной производительностью и позволяют разрабатывать настраиваемые структуры для идеальной реализации алгоритмов ЦОС.

Проектирование устройств ЦОС на ПЛИС представляет собой многоуровневый иерархический процесс, достаточно слабо отраженный в отечественной научной литературе.

В операциях цифровой обработки сигналов особое внимание уделяется цифровой фильтрации [5], которая в среднем занимает до половины всего объема вычислений. При этом в таких системах, как системы распознавания речи или измерительные системы, важным является обеспечение линейности фазовых характеристик. Данное требование выполняется при обработке сигналов цифровыми фильтрами с конечной импульсной характеристикой (КИХ-фильтрами или нерекурсивными фильтрами) [5, 7]. Помимо линейности фазовых характеристик КИХ-фильтры являются принципиально устойчивыми системами, и процесс вычисления их коэффициентов не вызывает затруднений. Однако при повышенных требованиях к избирательности таких фильтров - крутые спады АЧХ и характеристики затухания - необходимы значительные аппаратные и вычислительные затраты, что накладывает ограничения на практическую реализацию КИХ-фильтров на основе традиционных компонентов

цифровой электроники, в частности микропроцессоров и сигнальных процессоров (DSP). Эти ограничения можно исключить при реализации КИХ-фильтров на основе современной компонентной базы - ПЛИС.

В отличие от КИХ-фильтров фильтры с бесконечной импульсной характеристикой (БИХ-фильтры, или рекурсивные фильтры) не обладают строго линейной фазовой характеристикой, за исключением частного случая, когда все полюсы находятся на единичной окружности. Однако они более эффективны, чем КИХ-фильтры, и имеют меньший порядок при одинаковых параметрах. Особенностью БИХ-фильтров является возможность самовозбуждения при недостаточной разрядности коэффициентов фильтра или неправильном расчете передаточной характеристики. Для того чтобы уменьшить влияние ограниченной разрядности коэффициентов, БИХ-фильтры синтезируют последовательным каскадным соединением звеньев второго порядка. Рекурсивные фильтры отличаются от КИХ-фильтров наличием обратной связи. Выходные отсчеты рекурсивных фильтров зависят не только от предыдущих и текущего входных отсчетов (как в КИХ-фильтре), но и от предыдущих выходных отсчетов.

Разработка устройств цифровой техники на ПЛИС, в общем случае, представляет собой новую технологию проектирования, включая их изготовление и сопровождение.

Ниже рассматривается проектирование цифровых фильтров (ЦФ) на ПЛИС фирмы Xilinx. Достоверность полученных результатов проверяется моделированием с помощью инструментальных средств проектирования фирм Xilinx и MathWorks (САПР Integrated Software Environment - iSE, пакета Xilinx System Generator for DSP и системы Matlab/Simulink).

Реализация ЦФ осуществляется на ПЛИС Spartan-3E семейства FPGA, которая благодаря особенностям своей архитектуры предоставляет два ключевых преимущества для цифровой обработки сигналов. Во-первых, архитектура FPGA из-за высокой производительности очень хорошо подходит для параллельного выполнения функций цифровой обработки сигналов и, во-вторых, возможность программирования ПЛИС позволяет найти компромисс между задействованными ресурсами ПЛИС и производительностью проектируемого устройства посредством выбора соответствующего уровня параллелизма [8].

Интеграция математических пакетов System Generator for DSP, MatLab и Simu-link является эффективным способом решения задач в области цифровой обработки сигналов и позволяет не вникать в особенности архитектуры ПЛИС, проводить проектирование в основном в среде MatLab, получая затем рабочую конфигурацию ПЛИС [8]. Применяя пакет SystemGenerator, разработанный совместно фирмами Xi-linx и MathWorks, можно синтезировать требуемую системную функцию фильтра, провести моделирование его работы и сгенерировать код для программирования ПЛИС непосредственно из среды Simulink.

Проектирование цифровых фильтров на ПЛИС складывается из следующих этапов: 1) разработка модели ЦФ на системном уровне; 2) проектирование на уровне регистровых передач; 3) логический синтез, размещение и трассировка в ПЛИС.

На первом этапе разрабатывается модель ЦФ на системном уровне, для которого характерно решение таких задач, как выбор типа фильтра, расчет порядка и коэффициентов передаточной функции, а также квантование коэффициентов [5, 7]. Проектирование проводится в среде FDATool системы MatLab. По завершении синтеза автоматически выдаются график характеристики затухания и текущая информация о фильтре: структуре, порядке, устойчивости и коэффициентах фильтра.

Особое место на системном уровне проектирования занимает квантование коэффициентов фильтра [5].

Квантование вносит ошибку в поведение ЦФ вследствие эффектов усечения/округления, которые возникают из-за ограниченной разрядности представления коэффи-

циентов проектируемого ЦФ [1]. Оптимальная разрядность квантованных коэффициентов фильтра определяется, как правило, опытным путем. Цель анализа ошибок квантования состоит в выборе такой длины цифрового слова (коэффициентов ЦФ), чтобы цифровая система достаточно точно реализовывала желаемую линейную систему при минимуме аппаратных и программных средств. Увеличение длины цифрового слова на один бит снижает значение ошибок квантования в два раза.

На втором этапе - уровне регистровых передач - формируется описание ЦФ на языке описания аппаратуры (VHDL), используемое для размещения и трассировки ЦФ в ПЛИС.

На третьем этапе проектирования ЦФ при логическом синтезе осуществляется преобразование HDL описания ЦФ в список цепей, а также оптимизация списка цепей для конкретного кристалла ПЛИС. На данном этапе проектирования производятся размещение и трассировка цифрового фильтра в ПЛИС с помощью САПР ISE в автоматическом режиме [3].

Моделирование цифрового фильтра с конечной импульсной характеристикой. Моделирование цифрового фильтра проводится на первом и втором этапах проектирования. Функциональное моделирование фильтра производится без учета реальных значений задержек прохождения сигналов, позволяет найти соответствие выходных сигналов алгоритмам работы ЦФ и проверить выполнение заданных требований на проектирование. При временном моделировании оценивается работа схемы фильтра с учетом реальных значений задержек распространения сигналов. Следует отметить, что этапы функционального и временного моделирования не являются обязательными. Пренебрегать этими этапами, однако, не следует, так как высокоэффективные средства моделирования пакетов САПР позволяют обнаружить большинство возможных ошибок и, тем самым, значительно сократить общее время разработки фильтра.

Рассмотрим реализацию цифрового КИХ-фильтра нижних частот (НЧ) на ПЛИС фирмы Xilinx. Для этого воспользуемся графической средой проектирования Filter Design & Analysis Tool (FDATool) пакета MatLab, которая позволяет рассчитать параметры цифровых фильтров различными методами.

Пусть исходными требованиями для проектирования ЦФ нижних частот являются следующие: порядок фильтра - 6; частота дискретизации - 4 кГц; частота среза -1 кГц; метод проектирования - метод взвешивания (метод «окон», FIR window); тип оконной функции (окна) - окно Блэкмана.

Исследуемый КИХ-фильтр реализован на отладочной плате Spartan-3E FPGA Starter Kit (ПЛИС XC3S500E), которая в своем составе имеет 14-разрядный АЦП и 12-разрядный ЦАП [4]. Структурная схема цифровой фильтрации с применением ПЛИС изображена на рис. 1.

Рис. 1. Структурная схема цифровой фильтрации на ПЛИС

Проведем предварительно анализ некоторых оконных функций, применяемых при синтезе КИХ-фильтров.

Широкое применение получили несколько оконных функций, появившихся в 50-х гг. XX в. и названных по именам их создателей [6]. Наиболее распространены две из них - окно Хэмминга

w(n) = 0,54-0,46соз[ 2я—|, 0 < п < N (1)

и окно Блэкмана

w(n) = 0,42 - 0,5cosf 2л—1+ 0,08cosf 2%—

I N) I N

0 < n < N .

(2)

Л.дБГ

^0

120

0,2 0,4

0,8 n=flf

Оконные функции (1) и (2) приведены на рис. 2, а. Ответ на вопрос, какая из двух функций лучше, зависит от конкретно решаемой задачи. Если судить по рис. 2, б, фильтр Блэкмана имеет более сильное затухание в полосе подавления. В данном случае коэффициент передачи фильтра Блэкмана в зоне подавления составляет -58 дБ, а фильтра Хэмминга - всего лишь -42 дБ. АЧХ оконного фильтра Хэмминга спадает в переходной зоне приблизительно на 20% быстрее, чем АЧХ фильтра Блэкмана. Чаще всего предпочтение отдают фильтру Блэкмана, так как медленный спад его АЧХ представляется менее серьезным недостатком, чем слабое затухание в диапазоне подавляемых фильтром частот.

Имеются и другие оконные функции, которые, однако, уступают окнам Блэкмана и Хэмминга, но все же иногда используются на практике [6].

Передаточная функция КИХ-фильтра в форме г-преобразования

^-1 . ^-1

Н(г) =22К”)г ", (3)

/=0 п=0

где И(п) - его импульсная характеристика, соответствует разностному уравнению

0,6

6

. 2. Вид оконных функций Блэкмана (1) и Хэмминга (2) для К = 60 (а);

ЛАЧХ фильтра Блэкмана (1) и Хэмминга (2) (б)

У(п) = T.b,x(n - i),

(4)

непосредственно описывающему алгоритм работы ЦФ.

Передаточная функция (3) определяет прямую структуру КИХ-системы - Direct Form FIR, в частности, ее модификацию Direct Form Symmetric FIR.

Проведено моделирование КИХ-фильтра (рис. 1) в среде САПР ISE. Порты фильтра имеют следующее назначение:

filterin - 14-разрядный входной порт данных; filterout - 12-разрядный выходной порт данных; clk - вход тактового сигнала;

clk_enable - вход разрешения тактового сигнала; reset - вход сброса.

В качестве тестового воздействия на входной порт фильтра filter in был подан положительный единичный импульс максимальной амплитуды (1FFF)h=(01111111111111)2, где 14-й бит («0») является знаковым.

Результаты функционального (поведенческого) моделирования ЦФ 6-го порядка на входное воздействие приведены на рис. 3. Откликом фильтра является импульсная характеристика, отсчеты которой с заданной точностью (12 бит) совпали с коэффициентами квантованного фильтра и имеют следующие значения:

0;0;(380)h=(001110000000)2=0,21875; (8E0)h=(100011100000)2=0,5546875;

(380)h=(001110000000)2=0,21875; 0; 0.

Скт«л1 SkwiaM) ПпсИОп

elk

<Л_впаЫе part

/№r_<x4(ll:0)"(

pns tons JO its Mns *0 .mm! i i J м м ! n* 50ni 60ns 70 1 ! M ! } M I M 1 ! J ns 80 ! i! 111 ns 90 ns lOOn* 1(0 I M I ! I M ! ! ! ! ! j ins 1 1

u u u

■ 1

1 L

(X UNO» XHWFFX И1Я0Ю

( 12ЫЮ0 X 11*360 X121«£0X12W« !( 12КЮ0

Рис. 3. Результаты функционального моделирования ЦФ

Для получения описания функционирования цифрового КИХ-фильтра на уровне регистровых передач использовалась подсистема System Generator/MatLab. Входными данными подсистемы являлись коэффициенты проектируемого ЦФ, а выходными - синтезируемое описание на языке VHDL. Для синтеза и размещения ЦФ в кристалле ПЛИС использовалась САПР ISE 10.1 фирмы Xilinx, а в качестве аппаратного базиса - ПЛИС XC3S500E Spartan-3E семейства FPGA.

Моделирование цифрового фильтра с бесконечной импульсной характеристикой. Передаточная функция БИХ-фильтра имеет вид:

N

. ^

H (z) = 2 h(n) z-n =-^0_------------------------------------, (5)

n=0 1 + 2 a,z-i

i=1

где bi, ai - коэффициенты, соответственно, числителя и знаменателя, М < N.

Фильтр с передаточной функцией (5) имеет конечное число нулей М и полюсов N. Фильтр устойчивый, если полюсы функции H(z) расположены внутри круга единичного радиуса.

Синтез цифровых фильтров состоит из двух этапов: аппроксимации и реализации. Аппроксимация предполагает нахождение передаточной функции H(z), удовлетворяющей заданным требованиям. Существует ряд методов для нахождения передаточной функции цифрового фильтра [5]. Они делятся на прямые и косвенные. В первом случае передаточная функция определяется непосредственно по заданным параметрам цифрового фильтра, во втором случае вначале находится передаточная функция аналогового фильтра прототипа в ^-плоскости, а затем его дискретная модель в z-плоскости. Нелинейность перехода из _р-плоскости в z-плоскость обусловила многочисленные попытки его приближенного представления, результатом которых стали разнообразные методы z-преобразования [5].

Рассмотрим способы проектирования БИХ-фильтров на ПЛИС фирмы Xilinx и проведем их сравнение.

Передаточная функция (5) реализуется структурными схемами прямой или канонической форм [5]. Часто используется каноническая форма, так как в ней содержится минимальное количество сумматоров, умножителей и линий задержки. Перспективным способом представления передаточной функции при ее реализации на ПЛИС является формальное преобразование структуры в граф потока данных, где каждая линия обозначает сигнал, а каждый блок - вершину (т.е. операцию над этим сигналом).

В САПР ISE фирмы Xilinx отсутствуют пакеты функционального проектирования на системном уровне. Поэтому для синтеза цифрового БИХ-фильтра использована система математического моделирования MatLab компании MathWorks.

Проектирование БИХ-фильтра с помощью системы MatLab/FDATool. Самый быстрый и простой в применении способ проектирования БИХ-фильтров основан на применении пакета проектирования и анализа фильтров Filter Design and Analysis

Tool (FDATool), входящего в состав системы MatLab. Тогда маршрут проектирования БИХ-фильтра состоит из выполнения следующих этапов:

1. Задание исходных данных на проектирование фильтра.

2. Получение коэффициентов цифрового фильтра в среде FDATool.

3. Получение VHDL или Verilog кода для реализации на ПЛИС.

4. Создание HDL или Verilog проекта и конфигурационного файла с помощью специализированных САПР.

Для получения HDL-кода проектируемого фильтра необходимо провести квантование коэффициентов.

Рассмотрим реализацию БИХ-фильтра нижних частот для устранения помех датчика дифференциального давления MPX5010 на кристалле Spartan-3E компании Xilinx [2].

Исходные данные на проектирование фильтра: граничная частота полосы пропускания - 650 Гц, крутизна характеристики затухания в полосе задерживания -30 дБ/окт, неравномерность характеристики в полосе пропускания - 1 дБ, тип аппроксимации - метод Баттерворта.

Результаты расчета в ППП «APRA-ЧГУ» таковы:

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

- число активных звеньев второго порядка - 3; собственные частоты и добротности звеньев аналогового фильтра-прототипа: ю01 = 4570,832 c-1, q1 = 1,9316; ю02 = 4570,833 c-1, q2 = 0,7071; ю03 = 4570,832 c-1, q3 = 0,5176.

Передаточная функция Н(z) проектируемого цифрового НЧ-фильтра имеет вид

-1\2

___ IU. 11 + 7

H (z) = п-

А Рс^ (1+z~T

k=U + a1kz 1 +a2kz 2

где P0k = Hk 0ro0kT /D, a1k = (2ro0kT - 8)/D, a 2k = (4-2ro0kT/qk + ®0kT VD,

D = 4 + 2ю 0kT /qk + ю 0kT2, Т - период дискретизации.

Значения неквантованных коэффициентов отдельных звеньев

Звено 1: р01 = 0,0571724; a11 = -1,121; a21 = 0,3224.

Звено 2: р02 = 0,057887; a12 = -1,2329; a22 = 0,45443.

Звено 3: в 03 = 0,056416; a13 = -1,4907; a23 = 0,75855.

Было проведено квантование полученных значений коэффициентов. При их квантовании 8 битами имеет место значительная погрешность частотных характеристик, при квантовании 16 битами погрешность составляет менее 1%. Для реализации фильтра на ПЛИС Spartan-3E наиболее оптимальным будет выбор нижней границы разрядности коэффициентов при квантовании 18 битами. Это связано с тем, что блоки умножения ПЛИС имеют такую разрядность.

Осуществим генерацию HDL-кода. Для этого используем меню Targets - Generate HDL пакета FDATool. Основными параметрами здесь являются: язык описания фильтра (VHDL, Verilog); название заголовка (entity name); путь для сохранения файлов (Target directory); архитектура фильтра (параллельная, последовательная, частично последовательная); способ умножения выборок сигнала (coefficient multipliers); оптимизация HDL кода;

При выборе способа умножения выборок сигнала - multiplier - умножение производится с помощью оператора (*) (звездочка), и, соответственно, используемый синтезатор HDL кода должен поддерживать синтез данного оператора. Обычно синтез данного оператора производится с помощью внутренних аппаратных умножителей в ПЛИС; если же они отсутствуют - лучше выбрать canonic signed digit (CSD). При выборе CSD - умножение реализуется с помощью операций суммирования, при

этом MatLab оптимизирует код, уменьшая число вычислений за счет неиспользуемых разрядов переменных. Полученный HDL-код далее используется в САПР ISE для создания HDL-проекта и файла конфигурирования ПЛИС.

Проектирование БИХ-фильтра в среде MatLab/Simulink. Вторым способом реализации БИХ-фильтра с помощью MatLab является проектирование на основе структурных схем в пакете Simulink. Здесь стоит отметить, что данный метод различен в применении для трех фирм: Xilinx, Altera и Actel. Единственное сходство этого метода для всех трех случаев в том, что проектирование в MatLab ведется с использованием дополнительных специализированных пакетов. Рассмотрим проектирование БИХ-фильтра в среде MatLab/Simulink для ПЛИС фирмы Xilinx.

В случае ПЛИС фирмы Xilinx проектирование основано на интеграции математической среды MatLab и пакета Xilinx System Generator for DSP. Пакет System Generator, разработанный совместно фирмами Xilinx и MathWorks, позволяет автоматически переносить модели, созданные в среде MatLab/Simulink, в среду физического синтеза проектов для ПЛИС Xilinx.

На этапе разработки пакет System Generator предоставляет набор блоков Xilinx -XBS (Xilinx BlockSet), в состав которого входят параметризованные модули математических, логических функций ЦОС, модули для взаимодействия с Simulink, специальные конструкции для работы с закрытыми пользовательскими функциями и программным обеспечением Xilinx. Поскольку проект переводится на HDL автоматически, то устраняется этап отладки и исправления ошибок [4].

Для данного способа маршрут проектирования цифрового БИХ-фильтра можно представить в следующем виде:

1. Получение коэффициентов фильтра.

2. Создание структурной модели в MatLab/Simulink.

3. Создание HDL-проекта и файла прошивки с помощью XSG (Xilinx System Generator).

Для расчета коэффициентов фильтра можно использовать пакет FDATool либо воспользоваться стандартными методами расчета БИХ-фильтров и получить его передаточную функцию.

В отличие от встроенного HDL-генератора System Generator позволяет получить не просто HDL-код, а готовый HDL-проект с файлом конфигурирования ПЛИС.

После завершения моделирования и проверки схемы в MatLab выбираем необходимый кристалл в блоке System Generator и нажимаем кнопку Generate. В указанной директории создается HDL-проект фильтра, который с помощью САПР ISE конфигурируется в кристалл ПЛИС Spartan-3E.

Сравнение результатов двух способов моделирования цифровых БИХ-фильтров показали их совпадение.

Выводы. Развитие архитектуры и элементной базы ПЛИС оказало в последнее время существенное влияние не только на практическую сторону проектирования систем цифровой обработки, но и позволило аппаратно реализовать такие алгоритмы, которые ранее не имели практической значимости ввиду крайне низкой производительности использования стандартных вычислительных средств.

В статье рассмотрены этапы моделирования цифровых КИХ- и БИХ-фильтров на ПЛИС Spartan-3E семейства FPGA фирмы Xilinx. Проектирование КИХ-фильтров проводилось с использованием метода взвешивания, БИХ-фильтров - косвенным методом на основе аналоговых фильтров-прототипов. Математические модели фильтров получены в среде MatLab/Simulink, затем созданные модели автоматически перенесены в среду физического синтеза проектов на FPGA с помощью САПР ISE и пакета System Generator. Проведено конфигурирование цифровых фильтров в кристалл ПЛИС XC3S500E-4FG320.

Литература

1. Агаков В.Г., Носов А.А., Фомина М.С. О точности схемной реализации цифровых фильтров // Математические модели и их приложения: сб. науч. тр. Чебоксары: Изд-во Чуваш. ун-та, 2011. Вып. 12. С. 194-201.

2. Агаков В.Г., Абрамов С.В., Носов А.А. Применение ПЛИС при реализации информационных измерительных систем // Математические модели и их приложения: сб. науч. тр. Чебоксары: Изд-во Чуваш. ун-та, 2011. Вып. 13. С. 122-129.

3. Зотов ВЮ. Проектирование цифровых устройств на основе ПЛИС фирмы Xilinx в САПР WebPACK ISE. М.: Горячая линия-Телеком, 2003. 624 с.

4. Проектирование цифровых КИХ-фильтров на программируемых логических интегральных схемах / В.Г. Агаков, А.А. Носов, М.Ю. Мягчилов и др. // Нелинейный мир. 2011. № 9, т. 9. С. 548-556.

5. Рабинер Л., Гоулд Б. Теория и применение цифровой обработки сигналов. М.: Мир, 1978. 848 с.

6. Смит С. Цифровая обработка сигналов. Практическое руководство для инженеров и научных работников. М.: Додэка-XXI, 2011. 720 с.

7. Солонина А.И., Арбузов С.М. Цифровая обработка сигналов. Моделирование в MatLab. СПб.: БХВ-Петербург, 2008. 816 с.

8. Хацук В.А. Реализация ЦОС на ПЛИС структуры FPGA: высокая производительность и низкая стоимость реализации // Электроника. 2004. № 6. С. 23-26.

АГАКОВ ВСЕВОЛОД ГЕОРГИЕВИЧ - кандидат физико-математических наук, профессор, заведующий кафедрой высшей математики, Чувашский государственный университет, Россия, Чебоксары (agakov@chuvsu.ru).

AGAKOV VSEVOLOD GEORGIEVICH - candidate of physical and mathematical sciences, professor, head of Higher Mathematics Chair, Chuvash State University, Russia, Cheboksary.

НОСОВ АНАТОЛИИ АФАНАСЬЕВИЧ - кандидат технических наук, доцент кафедры промышленной электроники, заведующий научно-образовательной лабораторией наноэлектронных устройств и систем, Чувашский государственный университет, Россия, Чебоксары (nolneus@mail.ru).

NOSOV ANATOLIY AFANASYEVICH - candidate of technical sciences, associate professor of Industrial Electronics Chair, head of research and educational laboratory of nanoelec-tronic devices and systems, Chuvash State University, Russia, Cheboksary.

МЯГЧИЛОВ МИХАИЛ ЮРЬЕВИЧ - инженер НИЧ, Чувашский государственный университет, Россия, Чебоксары (mickle28@mail.ru).

MyAGCHILoV MIXAIL YUREVICH - engineer of science research unit, Chuvash State University, Russia, Cheboksary.

АБРАМОВ СЕРГЕЙ ВЛАДИМИРОВИЧ - магистрант кафедры промышленной электроники, Чувашский государственный университет, Россия, Чебоксары (dim_dein@bk.ru).

ABRAMOV SERGEI VLADIMIROVICH - master’s program student of Industrial Electronics Chair, Chuvash State University, Russia, Cheboksary.

i Надоели баннеры? Вы всегда можете отключить рекламу.