Научная статья на тему 'Методы поиска ошибок проектирования в HDL-коде'

Методы поиска ошибок проектирования в HDL-коде Текст научной статьи по специальности «Компьютерные и информационные науки»

CC BY
173
118
i Надоели баннеры? Вы всегда можете отключить рекламу.

Аннотация научной статьи по компьютерным и информационным наукам, автор научной работы — Шкиль Александр Сергеевич, Сыревич Евгения Ефимовна, Кучеренко Дария Ефимовна, Фастовец Геннадий Федорович

Рассматриваются методы поиска ошибок проектирования в неструктурированном HDL-коде. Разрабатываются структурные методы поиска и метод обратного прослеживания. Проводятся эксперименты над HDL-моделью цифрового устройства с использованием разработанных методов.

i Надоели баннеры? Вы всегда можете отключить рекламу.

Похожие темы научных работ по компьютерным и информационным наукам , автор научной работы — Шкиль Александр Сергеевич, Сыревич Евгения Ефимовна, Кучеренко Дария Ефимовна, Фастовец Геннадий Федорович

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.
i Надоели баннеры? Вы всегда можете отключить рекламу.

Methods of searching design faults in HDL-code

Defect search is very urgent during HDL-models verification, because it allows to find place, reason, and type of a defect – in other words to localize a design error. Methods, represented in the article allow decreasing diagnosis depth till HDLoperator and are a subject of scientific novelty. The following methods are developed in the work: structural method for narrowing area of suspected design error in HDL-models with many outputs; structural one in one-output models with using reference signatures of specification in control points (CP); backtracking method for “discovering” process in subgraphs which are limited by reference CP’s.

Текст научной работы на тему «Методы поиска ошибок проектирования в HDL-коде»

2) структурной избыточности, вызванной:

- выявлением изотопных уровней для фрагментов изображений;

- исключением из обработки изотопного уровня, содержащего наибольшее количество единичных элементов (высот рельефа изображения).

Научная новизна созданных результатов заключается в том, что впервые: разработано структурное кодирование двоичных данных в непозиционном архитектурном пространстве. Разработанное кодирование в отличие от других методов основано на:

- структурной нумерации связных перестановок с повторениями в двоичном двумерном полиадическом пространстве;

- переформатировании архитектуры рельефа изображения в соответствии с непозиционностью и взаимоисключаемостью изотопных уровней;

- исключении из обработки старших элементов ТДС, принадлежащих ПМ с наибольшим количеством единичных элементов;

- одновременном сокращении комбинаторной избыточности, обусловленной учетом связности изотопных уровней архитектуры в двумерном полиадическом пространстве и структурной избыточности, вызванной выявлением изотопых уровней и исключением из обработки изотопного уровня, содержащего наибольшее количество высот рельефа.

Практическая значимость заключается в том, что построенный метод компактного представления на основе архитектурного позиционирования рельефа обеспечивает дополнительное увеличение степени сжатия видеоданных как в режиме без потери, так и в

УДК681.326 "

МЕТОДЫ ПОИСКА ОШИБОК ПРОЕКТИРОВАНИЯ В HDL-КОДЕ

ШКИЛЬ А.С., СЫРЕВИЧЕ.Е.,

КУЧЕРЕНКО Д.Е., ФАСТОВЕЦ Г. Ф.____________

Рассматриваются методы поиска ошибок проектирования в неструктурированном HDL-коде. Разрабатываются структурные методы поиска и метод обратного прослеживания. Проводятся эксперименты над HDL-моделью цифрового устройства с использованием разработанных методов.

1. Введение

Верификация цифровых проектов, т. е. аппаратных или встроенных аппаратно-программных систем, описанных на языке аппаратуры (Hardware Description Language - HDL), является важной задачей в процессе проектирования цифровыхустройств (ЦУ). Часто более 70% времени разработки затрачивается на поиск и исправление ошибок в проекте.

режиме с регулируемыми потерями качества восстановленных изображений.

Литература: 1. Олифер В. Г. Компьютерные сети. Принципы, технологии, протоколы / В.Г. Олифер, Н.А. Олифер. СПб.: Питер, 2006. 958 с. 2. Ватолин В.И. Методы сжатия данных. Устройство архиваторов, сжатие изображений и видео / Ватолин В.И., Ратушняк А., Смирнов М., Юкин В. М.: ДИАЛОГ - МИФИ, 2002. 384 с. 3.AdamsM.D. The JPEG-2000 Still Image Compressio 1 N 2412, Sept. 2001. 4. Wallace G.K. The JPEG Still Picture Compression Standard // Communication in ACM. 1991. V. 34, №4. P.31-34. 5. Баранник В.В. Рельефное представление изображений пирамидальным кодированием // Інформаційно-керукш системи на залізничному транспорті. 2001. №1. С. 17-25. 6. Слободянюк А.В. Методика анализа подходов относительно сокращения избыточности изображений с различной степенью достоверности в информационно-телекоммуникационных системах // Системи управління, навігації та зв’язку. ЦНДІ НіУ. 2008. № 4(8). С. 158-160. 7. Баранник В.В. Нумерация связных двоичных структур в двумерном полиадическом пространстве / В.В. Баранник, А.В. Слободянюк // Системи обробки інформації. Х.: ХУПС. 2008. Вип. 7(74). С. 22 -25.

Поступила в редколлегию 17.07.2008

Рецензент: д-р техн. наук, проф. Поляков П.Ф.

Баранник Владимир Викторович, д-р техн. наук, старший научный сотрудник, ведущий научный сотрудник научного центра Харьковского университета Воздушных Сил имени Ивана Кожедуба. Научные интересы: обработка и передача информации. Адрес: Украина, 61023, Харьков -23, ул. Сумская, 77/79.

Слободянюк Александр Васильевич, ассистент кафедры информатики и МПИ Каменец-Подольского национального университета. Научные интересы: обработка и передача информации.

Целью данного исследования является разработка методов поиска дефектов/ошибок проектирования в неструктурированном HDL-коде, позволяющих со-кр атить время проведения диагностического эксперимента и уменьшить длину алгоритма поиска дефекта.

Исходя из сказанного, необходимо решить следующие задачи:

1) Построить графовую модель, которая позволяет выполнять процедуры прямой и обратной импликации; построить тест для HDL-модели ЦУ.

2) Разработать структурный метод локализации ошибок проектирования в HDL-модели.

3) Провести диагностический эксперимент по поиску ошибок проектирования в рамках верификации.

В качестве понятия дефект в диагностических экспериментах используется понятие ошибки про ектиров а-ния типа «замена оператора» [1].

РИ, 2008, № 3

47

2. Динамическая модель устройства на основе графа связей

Диагностический эксперимент (ДЭ) над HDL-кодом осуществляется в два этапа. На первом этапе проводится безусловный эксперимент путем подачи на вход модели теста и сравнение последовательностей на внешних выходах с эталонными значениями. Если результат хотя бы на одном выходе не совпадает с эталоном, выполняется второй этап ДЭ - локализация ошибок проектирования.

Проблема диагностирования технического состояния модели цифрового устройства заключается в минимизации области существования ошибок проектирования относительно наперед заданного класса, который называется множеством подозреваемых дефектов |D|, при допустимых параметрах длины теста |Т| и числа наблюдаемых выходов |R|. Функционально зависимость упомянутых компонентов определяется выражением |D = 1/(| T | * | R |). Естественно, чем больше длина теста и количество наблюдаемых выходов, тем выше разрешающая способность алгоритма диагностирования, которая в пределе должна обеспечивать определение неисправного элемента (оператора) только по фактическим реакциям без доступа к ко нтр ольным точкам (КТ). Пр и фиксации пар аметр ов |Т| и |R|, что имеет место в алгоритмах поиска дефектов, основанных на анализе уже заданных таблиц неисправностей (ТН), можно повысить глубину диагностирования путем использования структуры связей входо-выходных переменных элементов (операндов или операторов) модели цифрового устройства. Максимально адекватной реакцией модели на тест-вектор Tj (i = 1, k ) при наличии дефекта является векторстрока значений наблюдаемых выходов модели Ri. В качестве примера можно выделить метод тестового диагностирования ошибок проектирования в модели ЦУ на основе использования многозначных таблиц неисправностей (МТН), а для повышения глубины поиска неисправностей используется анализ структуры объекта.

Соединение классического метода МТН с графом связей модели позволяет перейти от тестового диагностирования к функциональному, когда в качестве тестовых воздействий используются различающие последовательности (РПС), а эталоном является реакция на тест, полученная из спецификации [2].

В начальную область подозреваемых ошибок (ОПО) проектирования входят все операндовые вершины. ДЭ проводится исходя из предположения, что в коде имеется одиночная ошибка проектирования, которая в результате даёт неисправное значение на некоторой операндовой вершине, являющейся либо контрольной точкой, либо внешним выходом.

Основной принцип, лежащий в основе структурного алгоритма поиска ошибок проектирования, следующий. Если в очередной контрольной точке результат элементарной проверки (ЭП) отрицателен, то в ОПО на очередном шаге алгоритма входит сама операндо-

48

вая вершина и все её предшественники в активном подграфе. Если результат проверки положителен, то все предшественники предполагаются исправными, а подозреваемая ошибка находится среди остальных вершин ОПО предыдущего шага алгоритма.

Условный диагностический эксперимент строится с условием минимизации максимальной последовательности элементарных проверок, что реализуется с применением стратегии половинного деления. Отметим, что HDL-код представляется в виде графовой модели, которая позволяет выполнять процедуры прямой и обратной импликации, а построение теста выполняется путем активизации многоразрядных путей от входов до внешних выходов или контрольных точек в I-графе [3]. В качестве тестов используются предварительно вычисленные различающие тестовые последовательности. Такие РПС позволяют найти ошибки, связанные с заменой операторов в HDL-коде.

Если транспортирование ошибки проектирования на внешний выход невозможно, то граф необходимо разбить на подграфы по принципу существования активизации. Причиной невозможности активизации может быть либо так построенный код в силу ряда причин, либо в коде имеется ошибка (предполагаемое место ошибки - подграф её предшественников) [4].

Выбор сделан в пользу РПС, а не стандартных проверяющих тестов, по нескольким причинам. Главной из них является то, что в основе построения проверяющих тестов лежат понятия тр анспортирования и активизации пути, по которому неисправность транспортируется на внешний выход схемы. А это не всегда можно сделать, так как в HDL-коде функциональные неисправности могут быть замаскированы дальнейшими вычислениями таким образом, что ошибка не будет наблюдаться на внешнем выходе. Например, ошибка на любой операндовой вершине не транспортируется на внешний выход, если среди её предшественников есть оператор логического ИЛИ с константной 1 на одном из входов. Для декомпозиции исходного графа используются контрольные точки (аналогично контрольным точкам при генерации тестов, которые позволяли «разбить» путь активизации и определить границы подграфов). Данные КТ будут выходами каждого из подграфов. Таким образом, ошибка проектирования транспортируется на внешний выход каждого подграфа.

Особенностью диагностирования HDL-модели является отсутствие эталонного HDL-кода, поэтому вычислить эталонные значения сигналов во всех КТ без привлечения внешних способов невозможно.

Существуют два типа контрольных точек, используемых при поиске места ошибки в HDL-коде в условиях отсутствия исчерпывающей (полной) спецификации. Контрольные точки первого рода - сигналы (переменные) модели, которые наблюдаемы и эталонные значения которых известны из спецификации. Контрольные точки второго рода - сигналы (переменные) модели, значения эталонов в которых наблюдаемы, но до начала эксперимента неизвестны.

РИ, 2008, № 3

Для удобства сравнения реакции в КТ первого рода ностического эксперимента, ОПО формируется на

сворачиваются в сигнатуры в Testbench, и затем основе пересечения строк матрицы М, отмеченных сигнатуры используются в качестве эталонов в ДЭ. единичными значениями в векторе R, по формуле:

Структур изация исходной мо дели на HDL выполняется путем выделения многовыходового подграфа, который порождается (активизируется) в результате подачи на модель ЦУ РПС. Входами подграфа являются внешние входы (операндовые вершины, не только физические), а выходами - КТ первого рода.

По результатам проведения первого этапа диагностического эксперимента (подачи теста для определения наличия ошибки проектирования в описании) формируется вектор экспериментальной проверки (ВЭП): R = R1,R2,...,Ri,...,Rk, где R; = 0, если на і-м наблюдаемом выходе зафиксировано равенство эталонной и экспериментальной реакции S.^, = Sекс, и Ri = 1 в противном случае. Другими словами, определяется, на какие из множества выходов оказывает влияние предположенная ошибка проектирования. Длина ВЭП равна числу КТ первого рода, исследуемых в ходе диагностического эксперимента.

Как известно, граф связей представляет собой ориентированный связный граф G = (V, E), с числом промежуточных и конечных узлов 0,1,2, ...,V и с числом дуг-связей между ними 1,2,...,E. При этом критерий связности для модели цифрового устройства описывается следующим образом:

1) граф связан, если из любой начальной вершины присутствует путь в хотя бы одну конечную вершину (т.е. вершина достижима);

2) граф сильно связан, если из одной входной вершины существует путь в каждую выходную вершину.

Отсюда имеет место интерпретация диагностических результатов двух частных случаев: R = 0 - модель не содержит ошибок проектирования, R = 1 - случай сильно связанного графа и ОПО содержит все элементы.

Граф связей удобно описывать с помощью понятия достижимости. Матрица достижимости (МД) M = [Шу] описывает возможные пути от узла Vi к узлу Vj. При этом элемент матрицы равен:

mi

1 ^ViVj ,

0 ^3 ViVj,

где Vi Vj - путь от узла-источника тестов Vi к узлу-приемнику Vj .

3. Структурный метод поиска ошибок проектирования путем сужения ОПО

Алгоритм поиска дефектов/ошибок проектирования в HDL-коде в общем виде повторяет построение алгоритма для многовыходовых цифровых схем.

При условии предположения о наличии в схеме одиночного дефекта, по результатам первого этапа диаг-

D = П| Rj=1Mj -U|

Rj=°Mj.

(1)

Формула (1) говорит о том, что ОПО представляет собой пересечение подмножеств операндов-предше-ственников с несовпадающей сигнатурой, из которого нужно вычесть объединение предшественников с сигнатурой, совпадающей с эталонной. Другими словами, из ОПО следует исключить вершины, которые не являются предшественниками с отрицательной сигнатурой, так как в случае ошибки такая вершина и все ее преемники имели бы отрицательную сигнатуру.

Из полученного множества по тем же соображениям следует исключить все вершины-предшественники с положительной сигнатурой. В предположении существования в схеме кратного дефекта ОПО определяется объединением строк, соответствующих единичным значениям координат вектора экспериментальной проверки R, и вычисляется по формуле:

D = 4 Rj=1Mj-^|

|Rj=0Mj.

(2)

Формула (2) применяется, если результат вычислений по формуле (1) дал пустой результат [5].

В качестве примера рассмотрим модель-описание на языке VHDL многовыходовой комбинационной схемы. Для этой модели необходимо выполнить следующие действия.

1. Выполнить ранжирование операндов путем нумерации операндовых вершин (рис. 1).

entity SCH is

port (X1 ,X2,X3,X4,X5,X6,X7: in bit;

R14, R15, R16: out bit); end SCH;

architecture BEH of SCH is

signal S8, S9, S10, S11, S12, S13: bit; begin

S8<=X1 nand x2;

S9<=X3 nand x4;

S10<=X5 and x6;

S11<=S8 nand S9;

S12<=S9 nand S10;

S13<=S10 and X7;

R14<=X1 nand S11;

R15<=S11 nand S12;

R16<=S12 and S13; end BEH;

Рис. 1. Пример VHDL- модели

РИ, 2008, № 3

49

2. Построить граф связей на основе операндовых вершин (рис.2).

Рис. 2. Граф связей

3. По графу связей выполнить построение МД (рис.

3). В данной матрице единицами заполняется главная диагональ и те клетки в строках, которые являются предшественниками для операндовых вершин главной диагонали.

4. Структурный метод поиска ошибок проектирования

Структурный метод основан на построении дерева поиска дефектов для одновыходового подграфа. Разбиение графа на подграфы выполняется по контрольным точкам первого рода либо по элементам, содержащимся в ОПО после применения метода её сужения.

На рис. 4 представлена HDL-модель одновыходового ЦУ, для которой предполагается построение структурного алгоритма поиска ошибок проектирования.

entity SCH is

port (G1,G2,G3,G4: in bit G8: out bit); end SCH;

architecture BEH of SCH is signal G5,G6, G7: bit; begin

G5<= G1 nand G2; G6<= G2 nand G3;

G7<= G5 or G6; G8<= G4 nor G7; end BEH;

Рис. 4. HDL-модель ЦУ

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

м 1 2 3 4 5 б 7 8 9 10 И 12 13 14 15 16

1 2 И 1 И! 1 1

, 11 1

3 1 И 1 1 |

4 1 11 |1 1 1 1 1 1 1 1

5 1 і 1

6 1 1 1 1 1 1 11 1 1 1 1 1 1

1 НІ 1 1 I 1 1

8 і И1 1 1 111 1 I 1 1

9 10 11 12 1 її 1 1 ШИ 1 И 11 [1 11 1 1 и і 1

11 . 1 1 ни 1 і 1

1 1 1

і и гггнтг і: і 1

13 1 1 |1 і и і і и и і 1

14 1 ни 11 1 и HI 1 1 и 1

15 1 ии і и 11 пип 1 11 і 1 1

1й 1 и 111 ии 1 и и і и і И

Рис.3. Матрица достижимости

ВЭП будет иметь элементы R14, R15, R16. Предположим ошибку в операнде S8. При этом на вершинах 14 и 15 будет несовпадение результатов с эталоном, а на вершину 16 вершина 8 влияния не оказывает.

В этом случае вектор экспериментальной проверки ВЭП=[1,1,0]. По формуле (1) имеем:

D = (M14 n M15) - M16 = {1,2,3,4,8,9,11,12,15} -- {3,4,5,6,7,9,10,12,13,16} = {1,2,8,11}.

Таким образом, ОПО сузилась до 4-х вершин и двух операторов (см. рис. 2). Далее можно применить структурный метод поиска ошибок в подграфе, ограниченном элементами из ОПО.

Построение структурного алгоритма поиска выполняется по следующим шагам.

1. HDL-модель ЦУ необходимо представить в виде I-графа (рис. 5).

Так как операндовые вершины G5, G6 и G8 наблюдаемы и их эталонные значения содержатся в спецификации, то они являются КТ первого рода.

2. Строится граф связи, вершинами которого являются операндовые вершины, а линии графа определяют связь между ними (рис. 6). КТ первого рода на рис. 6 выделены двойным контуром.

50

РИ, 2008, № 3

3. Для полученного графа строится МД для КТ первого рода (рис.7).

В ОПО на первом шаге входят все вершины, кроме входов, которые по умолчанию не вносят ошибки проектирования типа замена оператора [4], и кроме вершины 7, значение которой зависит от внутренних вершин 5 и 6, вычисляемых только в процессе эксперимента (КТ второго рода).

Рис. 7. Матрица достижимости

Дерево поиска ошибок проектирования будет строиться только по КТ первого рода на основании МД с использованием критерия половинного деления [5].

Проверка операндовой вершины 6 разбивает ОПО на 2 подмножества:

- по отрицательной сигнатуре: {2,3,6} (предшественники + сама проверяемая вершина). Как было сказано ранее, вершины 2 и 3 - входы и не вносят ошибок. Поэтому точкой возникновения ошибки считается вершина 6;

- по положительной сигнатуре: {1,4,5,8} (все остальные). В этом случае продолжаем строить дерево для ОПО {1,4,5,8}.

Аналогично рассматриваются все вершины из ОПО. Таким образом, получается результирующее дерево поиска ошибок проектирования (рис. 8).

Рис. 8. Дерево поиска ошибок проектирования

Вершины 7 и 8 находятся в одном подмножестве, так как 7 является КТ второго рода. Для различения операндовых вершин 7 и 8 (выходов операторов or (G7) и nor (G8)) необходимо применить метод обратного прослеживания.

5. Структурно-функциональный метод обратного прослеживания для уточнения диагноза

В подграфе, где выходом является КТ первого рода, можно применить метод обратного прослеживания, предложенный Абрамовичем [6]. Классический метод основывался на следующих положениях.

1. Есть структурно-функциональная модель устройства.

2. Есть условие существенности (активизации) для каждого элемента.

3. Есть тест, который (предположительно) активизирует все пути в модели.

4. Есть эталонные реакции на внешнем выходе.

Суть метода состояла в следующем: если на внешнем выходе модели реальное значение не совпадает с эталонным, то выполняется импликация назад с использованием условий существенности до тех пор, пока «исчезнет» различие между реальными значениями и эталонными. Последняя из линий схемы, на которой наблюдалось несовпадение, признается неисправной. Метод применялся для одновыходовых схем в предположении о наличии в них одиночных неисправностей.

При использовании этого метода для «доискивания» в классе эквивалентных ошибок при анализе ошибочного HDL-кода необходима модификация метода. Принимаются следующие предположения:

1. Класс неисправности - замена оператора (ошибка проектирования, описанная в [1]).

2. В качестве тестов используются РПС для каждого оператора.

3. Неисправным (ошибочным) признается оператор, на входах которого наблюдалось последнее несовпадение с эталоном.

Алгоритм выполнения «доискивания»:

1. Выполняется моделирование РПС на реальной модели до внешнего выхода (либо КТ первого рода). Каждая РПС порождает многовыходной подграф, входами которого являются внешние входы (либо КТ первого рода), а выходами - внешние выходы (либо КТ первого рода).

2. Если на выходе обнаружено несовпадение с этало-ном, то выполняется процедура обратного прослеживания.

3. Обратное прослеживание идет до тех пор, пока результат пересечения текущего вектора с условиями

РИ, 2008, № 3

51

существенности обрабатываемой операндовой вершины не равен Ж.

4. Из непустых пересечений формируется подмножество подозреваемых элементов.

Пусть имеется некоторый VHDL-код (рис. 9), реализующий комбинационную схему, представленную на рис.10.

entity SCH is

port (X1 ,X2,X3,X4,X5,X6,X7, Х8: in bit; R15: out bit);

end SCH;

architecture BEH of SCH is signal S9, S10, S11, S12, S13, S14: bit; begin

S9<=X1 and X2;

В результате моделирования РПС на неисправной схеме (коде с ошибкой) на выходе 15 (внешний порт описания) получаем “1”. Реализация метода обратного прослеживания показана в таблице.

12 3 4 5 6 7 8 9 10 и 12 13 14 15

11 0 1 1 1 0 0 1 0 і 0 1 0 1 результат

X линия 15

1 X X п

X 1 X ->0

11 и 1 1 1 и и 1 и і 0 1 X X линия 14

X 1 X -»0

1 X X п

И 0 1 1 1 0 0 1 0 1 X 1 X X пиния 12

X і X ->0

1 X -*0

S10<=X3 and X4;

S11<=X5 and X6;

S12<=Х7 and Х8;

S13<=S9 and S10;

S14<= S11 and S12;

R15<=S13 nand S14; end BEH;

Рис. 9. HDL-модель

Условия существенности для логических функций:

11 X X]

для И (И-НЕ) і X 1 X Г, для ИЛИ (ИЛИ-НЕ) f 0 X X|

IX 0 XI . Аналогично строятся условия существенности для других предопределенных операторов HDL. В этом случае РПС для ошибки «ИЛИ / И» для элемента 6 имеет вид 11011100. Эталон —”0" на линии 15.

Рис. 10. «Доискивание» на примере структурнофункциональной модели схемы

Таким образом, неисправный тот элемент, у которого входом является линия 12, т. е. элемент 6, который был ИЛИ, а стал И (по условиям построения РПС), что и требовалось доказать. На рис. 10 жирным шрифтом выделен путь обр атного прослеживания и ошибочный элемент 6.

6. Выводы

Методы поиска дефектов/ошибок проектирования при верификации HDL-моделей позволяют не только говорить о наличии ошибки проектирования, но и точно определить место её возникновения (локализовать дефект).

Общую методику локализации ошибок проектирования в HDL-модели можно определить как последовательность следующих шагов:

1. Тестирование модели на основе подачи РПС.

2. Сужение ОПО на основе структурного метода для многовыходовых моделей.

3. Применение структурного метода поиска для подграфов, ограниченных элементами из ОПО.

4. Применение структурно-функционального метода обратного прослеживания для «доискивания» в подграфах относительно КТ первого рода.

Научная новизна полученных результатов состоит в модификации и применении технологий поиска дефектов в цифровых системах к моделям на языках описания аппаратуры (HDL).

Практическая значимость заключается в возможности автоматизировать поиск ошибок проектирования в HDL-коде в условиях неполной спецификации.

В перспективе данные результаты могут быть включены в систему верификации HDL-кода (Testbench) в качестве режима отладки (debug-функция).

Литература: 1. Сыревич Е.Е., Шкиль А.С., Карасев А.Л., Чегликов Д.И. Тестовая верификация поведенческих языковых моделей цифровых устройств // АСУ и приборы

52

iНе можете найти то, что вам нужно? Попробуйте сервис подбора литературы.

РИ, 2008, № 3

автоматики. 2006. Вып. 134. С. 4-12. 2. GennadiyKryvulya, Yevgeniya Syrevitch, Andrey Karasyov, Denis Chegikov. Test Generation for VHDL Descriptions Verification //Proc. of IEEE East - West Design & Test Workshop. Odessa, Ukraine, September 15 - 19, 2005. Р. 191 -195. 3. Шкиль А.С., Чегликов Д.И., Зинченко Д.Е. Реализация процедур импликации на графовой структуре // Радиоэлектронные компьютерные системы. Харьков, 2006. Вып. 6. С. 172-177.

4. Kryvulya G., Syrevitch Yev., Karasyov A. Cheglikov D. Test Generation for VHDL Descriptions Verification // EWDTW’05: Proc. of the International conf. (September 1519, 2005). Odessa, Ukraine: KhNURE, 2005. Р. 191 - 194. 5. Кривуля Г.Ф., Хаханов В.И., Шкиль А. С., Бабич А.В. Структурный метод поиска дефектов в сегменте локальной вычислительной сети // Контрольно-измерительные приборы и автоматика. 2004.№1. С. 18-24. 6. Abramovici M., Breuer M., Friedman A. Digital System Testing and Testable Design // IEEE Press. New York, 1998. 652 p.

Поступила в редколлегию 03.09.2008 Рецензент: д-р техн. наук, проф. Хаханов В.И.

Шкиль Александр Сергеевич, канд. техн. наук, доцент кафедры АПВТ ХНУРЭ. Научные интересы: верификация HDL-моделей ЦУ, логическое моделирование, дистанционное образование. Адрес: Украина, 61166, Харьков, пр. Ленина, 14, тел. 702-13-26.

Сыревич Евгения Ефимовна, канд. техн. наук, ассистент кафедры АПВТ ХНУРЭ. Научные интересы: верификация HDL-моделей, проектирование на ПЛИС. Адрес: Украина, 61166, Харьков, пр. Ленина, 14, тел. 702-13-26. Email: Syr_Jane@rambler.ru

Кучеренко Дария Ефимовна, стажер-исследователь кафедры АПВТ ХНУРЭ. Научные интересы: верификация HDL-моделей, диагностика компьютерных неисправностей. Адрес: Украина, 61166, Харьков, пр. Ленина, 14, тел. 702-13-26. E-mail: d_zin@ukr.net.

Фастовец Геннадий Федорович, канд. техн. наук, доцент кафедры АПВТ ХНУРЭ. Научные интересы: структурные методы диагностирования моделей ЦУ. Адрес: Украина, 61166, Харьков, пр. Ленина, 14, тел. 702-13-26.

РИ, 2008, № 3

53

i Надоели баннеры? Вы всегда можете отключить рекламу.